2019-02-24 23:30:57 +01:00
|
|
|
/*
|
|
|
|
(c) Raphaël Jacquot 2019
|
|
|
|
|
|
|
|
This file is part of hp_saturn.
|
|
|
|
|
|
|
|
hp_saturn is free software: you can redistribute it and/or modify
|
|
|
|
it under the terms of the GNU General Public License as published by
|
|
|
|
the Free Software Foundation, either version 3 of the License, or
|
|
|
|
any later version.
|
|
|
|
|
|
|
|
hp_saturn is distributed in the hope that it will be useful,
|
|
|
|
but WITHOUT ANY WARRANTY; without even the implied warranty of
|
|
|
|
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
|
|
|
GNU General Public License for more details.
|
|
|
|
|
|
|
|
You should have received a copy of the GNU General Public License
|
|
|
|
along with Foobar. If not, see <https://www.gnu.org/licenses/>.
|
|
|
|
|
|
|
|
*/
|
|
|
|
|
2019-02-25 09:17:17 +01:00
|
|
|
`default_nettype none
|
|
|
|
|
2019-03-03 13:03:12 +01:00
|
|
|
`include "saturn_def_buscmd.v"
|
|
|
|
|
2019-02-25 09:17:17 +01:00
|
|
|
`ifdef SIM
|
|
|
|
`define ROMBITS 20
|
|
|
|
`else
|
2019-03-04 22:48:09 +01:00
|
|
|
`define ROMBITS 19
|
2019-02-25 09:17:17 +01:00
|
|
|
`endif
|
|
|
|
|
2019-02-24 23:30:57 +01:00
|
|
|
module saturn_hp48gx_rom (
|
|
|
|
i_clk,
|
2019-03-03 15:19:07 +01:00
|
|
|
i_clk_en,
|
2019-02-24 23:30:57 +01:00
|
|
|
i_reset,
|
2019-02-25 09:17:17 +01:00
|
|
|
i_phase,
|
|
|
|
i_cycle_ctr,
|
2019-02-24 23:30:57 +01:00
|
|
|
|
|
|
|
i_bus_clk_en,
|
|
|
|
i_bus_is_data,
|
|
|
|
o_bus_nibble_out,
|
|
|
|
i_bus_nibble_in
|
|
|
|
);
|
|
|
|
|
2019-02-25 09:17:17 +01:00
|
|
|
input wire [0:0] i_clk;
|
2019-03-03 15:19:07 +01:00
|
|
|
input wire [0:0] i_clk_en;
|
2019-02-25 09:17:17 +01:00
|
|
|
input wire [0:0] i_reset;
|
|
|
|
input wire [1:0] i_phase;
|
|
|
|
input wire [31:0] i_cycle_ctr;
|
2019-02-24 23:30:57 +01:00
|
|
|
|
|
|
|
input wire [0:0] i_bus_clk_en;
|
|
|
|
input wire [0:0] i_bus_is_data;
|
|
|
|
output reg [3:0] o_bus_nibble_out;
|
|
|
|
input wire [3:0] i_bus_nibble_in;
|
|
|
|
|
2019-03-03 09:33:42 +01:00
|
|
|
reg [3:0] rom_data[0:(2**`ROMBITS)-1];
|
|
|
|
initial $readmemh("rom-gx-r.hex", rom_data, 0, (2**`ROMBITS)-1);
|
2019-02-25 09:17:17 +01:00
|
|
|
|
|
|
|
reg [3:0] last_cmd;
|
|
|
|
reg [2:0] addr_pos_ctr;
|
|
|
|
reg [19:0] local_pc;
|
|
|
|
reg [19:0] local_dp;
|
|
|
|
|
|
|
|
initial begin
|
|
|
|
last_cmd = 4'b0;
|
|
|
|
addr_pos_ctr = 3'b0;
|
|
|
|
local_pc = 20'b0;
|
|
|
|
local_dp = 20'b0;
|
|
|
|
end
|
|
|
|
|
2019-03-03 07:25:22 +01:00
|
|
|
/*
|
2019-03-03 13:03:12 +01:00
|
|
|
* reading the rom
|
2019-03-03 07:25:22 +01:00
|
|
|
*/
|
|
|
|
|
2019-03-03 10:24:53 +01:00
|
|
|
wire [0:0] do_pc_read = (last_cmd == `BUSCMD_PC_READ);
|
|
|
|
wire [0:0] do_dp_read = (last_cmd == `BUSCMD_DP_READ);
|
|
|
|
wire [0:0] do_read = do_pc_read || do_dp_read;
|
2019-03-03 15:19:07 +01:00
|
|
|
wire [0:0] can_read = i_bus_clk_en && i_clk_en && i_bus_is_data && do_read;
|
2019-03-03 07:25:22 +01:00
|
|
|
|
2019-03-03 13:03:12 +01:00
|
|
|
wire [19:0] access_pointer = do_pc_read?local_pc:local_dp;
|
|
|
|
|
|
|
|
wire [`ROMBITS-1:0] address = access_pointer[`ROMBITS-1:0];
|
2019-03-03 08:03:43 +01:00
|
|
|
|
2019-03-03 07:25:22 +01:00
|
|
|
always @(posedge i_clk) begin
|
2019-03-03 10:24:53 +01:00
|
|
|
if (can_read)
|
2019-03-03 13:03:12 +01:00
|
|
|
o_bus_nibble_out <= rom_data[address];
|
2019-03-03 07:25:22 +01:00
|
|
|
end
|
|
|
|
|
2019-03-03 13:03:12 +01:00
|
|
|
`ifdef SIM
|
|
|
|
wire [3:0] imm_nibble = rom_data[address];
|
|
|
|
`endif
|
|
|
|
|
2019-03-03 07:25:22 +01:00
|
|
|
/*
|
|
|
|
* general case
|
|
|
|
*/
|
|
|
|
|
2019-02-25 09:17:17 +01:00
|
|
|
always @(posedge i_clk) begin
|
2019-03-03 15:19:07 +01:00
|
|
|
if (i_bus_clk_en && i_clk_en) begin
|
2019-02-25 09:17:17 +01:00
|
|
|
if (i_bus_is_data) begin
|
|
|
|
/* do things with the bits...*/
|
|
|
|
case (last_cmd)
|
|
|
|
`BUSCMD_PC_READ:
|
|
|
|
begin
|
2019-03-03 07:25:22 +01:00
|
|
|
// o_bus_nibble_out <= rom_data[local_pc[`ROMBITS-1:0]];
|
2019-02-25 09:17:17 +01:00
|
|
|
local_pc <= local_pc + 1;
|
|
|
|
end
|
|
|
|
`BUSCMD_DP_READ:
|
|
|
|
begin
|
2019-03-03 07:25:22 +01:00
|
|
|
// o_bus_nibble_out <= rom_data[local_dp[`ROMBITS-1:0]];
|
2019-02-25 09:17:17 +01:00
|
|
|
local_dp <= local_dp + 1;
|
|
|
|
end
|
|
|
|
`BUSCMD_PC_WRITE: local_pc <= local_pc + 1;
|
|
|
|
`BUSCMD_DP_WRITE: local_dp <= local_dp + 1;
|
|
|
|
`BUSCMD_LOAD_PC:
|
|
|
|
begin
|
|
|
|
local_pc[addr_pos_ctr*4+:4] <= i_bus_nibble_in;
|
|
|
|
addr_pos_ctr <= addr_pos_ctr + 1;
|
|
|
|
end
|
|
|
|
`BUSCMD_LOAD_DP:
|
|
|
|
begin
|
|
|
|
local_dp[addr_pos_ctr*4+:4] <= i_bus_nibble_in;
|
|
|
|
addr_pos_ctr <= addr_pos_ctr + 1;
|
|
|
|
end
|
|
|
|
default: begin end
|
|
|
|
endcase
|
|
|
|
|
|
|
|
/* auto switch to pc read / dp read */
|
|
|
|
if (addr_pos_ctr == 4) begin
|
|
|
|
case (last_cmd)
|
|
|
|
`BUSCMD_LOAD_PC: last_cmd <= `BUSCMD_PC_READ;
|
|
|
|
`BUSCMD_LOAD_DP: last_cmd <= `BUSCMD_DP_READ;
|
|
|
|
default: begin end
|
|
|
|
endcase
|
|
|
|
end
|
|
|
|
|
|
|
|
`ifdef SIM
|
|
|
|
$write("ROM-GX-R %0d: [%d] ", i_phase, i_cycle_ctr);
|
|
|
|
case (last_cmd)
|
2019-03-03 20:48:48 +01:00
|
|
|
`BUSCMD_PC_READ: $write("PC_READ <= rom[%5h]: %h", local_pc, imm_nibble);
|
|
|
|
`BUSCMD_DP_READ: $write("DP_READ <= rom[%5h]: %h", local_dp, imm_nibble);
|
2019-02-25 09:17:17 +01:00
|
|
|
`BUSCMD_LOAD_PC: $write("LOAD_PC - pc %5h, %h pos %0d", local_pc, i_bus_nibble_in, addr_pos_ctr);
|
|
|
|
`BUSCMD_LOAD_DP: $write("LOAD_PC - pc %5h, %h pos %0d", local_pc, i_bus_nibble_in, addr_pos_ctr);
|
|
|
|
default: $write("last_command %h nibble %h - UNHANDLED", last_cmd, i_bus_nibble_in);
|
|
|
|
endcase
|
|
|
|
if (addr_pos_ctr == 4) begin
|
|
|
|
case (last_cmd)
|
|
|
|
`BUSCMD_LOAD_PC: $write(" auto switch to PC_READ");
|
|
|
|
`BUSCMD_LOAD_DP: $write(" auto switch to DP_READ");
|
|
|
|
default: begin end
|
|
|
|
endcase
|
|
|
|
end
|
|
|
|
$write("\n");
|
|
|
|
`endif
|
|
|
|
end else begin
|
|
|
|
last_cmd <= i_bus_nibble_in;
|
|
|
|
if ((i_bus_nibble_in == `BUSCMD_LOAD_PC) || (i_bus_nibble_in == `BUSCMD_LOAD_DP))
|
|
|
|
addr_pos_ctr <= 0;
|
|
|
|
`ifdef SIM
|
|
|
|
$write("ROM-GX-R %0d: [%d] ", i_phase, i_cycle_ctr);
|
|
|
|
case (i_bus_nibble_in)
|
2019-03-04 10:15:27 +01:00
|
|
|
`BUSCMD_PC_READ: $write("PC_READ");
|
2019-02-25 09:17:17 +01:00
|
|
|
`BUSCMD_LOAD_PC: $write("LOAD_PC");
|
|
|
|
`BUSCMD_LOAD_DP: $write("LOAD_DP");
|
|
|
|
`BUSCMD_CONFIGURE: $write("CONFIGURE");
|
|
|
|
`BUSCMD_RESET: $write("RESET");
|
|
|
|
default: begin end
|
|
|
|
endcase
|
|
|
|
$write("\n");
|
|
|
|
`endif
|
|
|
|
end
|
|
|
|
end
|
2019-02-24 23:30:57 +01:00
|
|
|
|
2019-02-25 09:17:17 +01:00
|
|
|
if (i_reset) begin
|
|
|
|
last_cmd <= 4'b0;
|
|
|
|
addr_pos_ctr <= 3'b0;
|
|
|
|
local_pc <= 20'b0;
|
|
|
|
local_dp <= 20'b0;
|
|
|
|
end
|
|
|
|
end
|
2019-02-24 23:30:57 +01:00
|
|
|
|
|
|
|
endmodule
|