From c77b714777fefa5beb6cad17ccfb73ff73350366 Mon Sep 17 00:00:00 2001 From: Raphael Jacquot Date: Wed, 6 Feb 2019 14:33:44 +0100 Subject: [PATCH] implement some of the bus commands for the io_ram module. --- saturn_core.json | 65395 ++++++++++++++++++++++++--------------------- saturn_core.v | 200 +- 2 files changed, 35744 insertions(+), 29851 deletions(-) diff --git a/saturn_core.json b/saturn_core.json index ec36b7a..3bd1b79 100644 --- a/saturn_core.json +++ b/saturn_core.json @@ -14303,7 +14303,7 @@ "saturn_core": { "attributes": { "top": 1, - "src": "saturn_core.v:55" + "src": "saturn_core.v:178" }, "ports": { "clk_25mhz": { @@ -14324,11 +14324,11 @@ } }, "cells": { - "$abc$19316$auto$blifparse.cc:492:parse_blif$19317.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20641.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65535 + "INIT": 65280 }, "attributes": { "module_not_derived": 1, @@ -14344,88 +14344,16 @@ "connections": { "A": [ 18 ], "B": [ 19 ], - "C": [ 4 ], - "D": [ 20 ], - "Z": [ 21 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19317.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65456 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 18 ], - "B": [ 19 ], - "C": [ 4 ], - "D": [ 20 ], + "C": [ 20 ], + "D": [ 21 ], "Z": [ 22 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19317.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 22 ], - "BLUT": [ 21 ], - "C0": [ 23 ], - "Z": [ 24 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19318.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20641.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 25 ], - "B": [ 26 ], - "C": [ 27 ], - "D": [ 28 ], - "Z": [ 29 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19318.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61439 + "INIT": 65359 }, "attributes": { "module_not_derived": 1, @@ -14439,14 +14367,14 @@ "Z": "output" }, "connections": { - "A": [ 25 ], - "B": [ 26 ], - "C": [ 27 ], - "D": [ 28 ], - "Z": [ 30 ] + "A": [ 18 ], + "B": [ 19 ], + "C": [ 20 ], + "D": [ 21 ], + "Z": [ 23 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19318.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20641.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -14462,163 +14390,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 30 ], - "BLUT": [ 29 ], - "C0": [ 31 ], - "Z": [ 18 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19319": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 32 ], - "B": [ 33 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 31 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19320": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 224 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 34 ], - "B": [ 35 ], - "C": [ 36 ], - "D": [ "0" ], - "Z": [ 32 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19321": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 37 ], - "B": [ 38 ], - "C": [ 39 ], - "D": [ 40 ], - "Z": [ 27 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19322": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 60383 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 41 ], - "B": [ 42 ], - "C": [ 43 ], - "D": [ 44 ], - "Z": [ 39 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19323": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 15100 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 42 ], - "B": [ 41 ], - "C": [ 44 ], - "D": [ 43 ], - "Z": [ 38 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19324": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 7936 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 45 ], - "B": [ 46 ], - "C": [ 47 ], - "D": [ 48 ], + "ALUT": [ 23 ], + "BLUT": [ 22 ], + "C0": [ 24 ], "Z": [ 25 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19325.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20642.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -14636,18 +14414,18 @@ "Z": "output" }, "connections": { - "A": [ 42 ], - "B": [ 41 ], - "C": [ 44 ], - "D": [ 43 ], - "Z": [ 49 ] + "A": [ 26 ], + "B": [ 27 ], + "C": [ 28 ], + "D": [ 29 ], + "Z": [ 30 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19325.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20642.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 64960 + "INIT": 65408 }, "attributes": { "module_not_derived": 1, @@ -14661,14 +14439,14 @@ "Z": "output" }, "connections": { - "A": [ 42 ], - "B": [ 41 ], - "C": [ 44 ], - "D": [ 43 ], - "Z": [ 50 ] + "A": [ 26 ], + "B": [ 27 ], + "C": [ 28 ], + "D": [ 29 ], + "Z": [ 31 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19325.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20642.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -14684,21 +14462,21 @@ "Z": "output" }, "connections": { - "ALUT": [ 50 ], - "BLUT": [ 49 ], - "C0": [ 51 ], - "Z": [ 48 ] + "ALUT": [ 31 ], + "BLUT": [ 30 ], + "C0": [ 32 ], + "Z": [ 21 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19326": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" }, "port_directions": { "A": "input", @@ -14708,22 +14486,22 @@ "Z": "output" }, "connections": { - "A": [ 52 ], - "B": [ 53 ], - "C": [ 54 ], - "D": [ "0" ], - "Z": [ 45 ] + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 37 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19327": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" }, "port_directions": { "A": "input", @@ -14733,72 +14511,326 @@ "Z": "output" }, "connections": { - "A": [ 55 ], - "B": [ 35 ], - "C": [ 34 ], - "D": [ "0" ], + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 38 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 39 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 40 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 41 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 42 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 43 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 44 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 38 ], + "BLUT": [ 37 ], + "C0": [ 45 ], + "Z": [ 46 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 40 ], + "BLUT": [ 39 ], + "C0": [ 45 ], "Z": [ 47 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19328": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.mux52": { "hide_name": 1, - "type": "LUT4", + "type": "PFUMX", "parameters": { - "INIT": 2816 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" }, "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", + "ALUT": "input", + "BLUT": "input", + "C0": "input", "Z": "output" }, "connections": { - "A": [ 42 ], - "B": [ 56 ], - "C": [ 57 ], - "D": [ 58 ], - "Z": [ 26 ] + "ALUT": [ 42 ], + "BLUT": [ 41 ], + "C0": [ 45 ], + "Z": [ 48 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19329": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.mux53": { "hide_name": 1, - "type": "LUT4", + "type": "PFUMX", "parameters": { - "INIT": 4 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" }, "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", + "ALUT": "input", + "BLUT": "input", + "C0": "input", "Z": "output" }, "connections": { - "A": [ 44 ], - "B": [ 43 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 56 ] + "ALUT": [ 44 ], + "BLUT": [ 43 ], + "C0": [ 45 ], + "Z": [ 49 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19330": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.mux60": { "hide_name": 1, - "type": "LUT4", + "type": "L6MUX21", "parameters": { - "INIT": 4096 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 46 ], + "D1": [ 47 ], + "SD": [ 50 ], + "Z": [ 51 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 48 ], + "D1": [ 49 ], + "SD": [ 50 ], + "Z": [ 52 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 51 ], + "D1": [ 52 ], + "SD": [ 53 ], + "Z": [ 29 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 31 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" }, "port_directions": { "A": "input", @@ -14808,22 +14840,22 @@ "Z": "output" }, "connections": { - "A": [ 43 ], - "B": [ 44 ], - "C": [ 41 ], - "D": [ 42 ], + "A": [ 54 ], + "B": [ 55 ], + "C": [ 32 ], + "D": [ 56 ], "Z": [ 57 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19331": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 248 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" }, "port_directions": { "A": "input", @@ -14833,44 +14865,135 @@ "Z": "output" }, "connections": { - "A": [ 59 ], - "B": [ 60 ], - "C": [ 61 ], - "D": [ 62 ], - "Z": [ 28 ] + "A": [ 54 ], + "B": [ 55 ], + "C": [ 32 ], + "D": [ 56 ], + "Z": [ 58 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19332": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 55 ], + "C": [ 32 ], + "D": [ 56 ], + "Z": [ 59 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 55 ], + "C": [ 32 ], + "D": [ 56 ], + "Z": [ 60 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 58 ], + "BLUT": [ 57 ], + "C0": [ 61 ], + "Z": [ 62 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 60 ], + "BLUT": [ 59 ], + "C0": [ 61 ], + "Z": [ 63 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 62 ], + "D1": [ 63 ], + "SD": [ 64 ], + "Z": [ 33 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20645": { "hide_name": 1, "type": "LUT4", "parameters": { "INIT": 1 }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 63 ], - "B": [ 64 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 61 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19333": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36873 - }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" @@ -14887,14 +15010,14 @@ "B": [ 66 ], "C": [ 67 ], "D": [ 68 ], - "Z": [ 59 ] + "Z": [ 34 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19334": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20646": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 36873 + "INIT": 1 }, "attributes": { "module_not_derived": 1, @@ -14912,18 +15035,18 @@ "B": [ 70 ], "C": [ 71 ], "D": [ 72 ], - "Z": [ 60 ] + "Z": [ 35 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19335.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20647": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 10922 + "INIT": 1 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { "A": "input", @@ -14934,395 +15057,13 @@ }, "connections": { "A": [ 73 ], - "B": [ 41 ], - "C": [ 42 ], - "D": [ 44 ], - "Z": [ 74 ] + "B": [ 74 ], + "C": [ 75 ], + "D": [ 76 ], + "Z": [ 36 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19335.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 43688 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 73 ], - "B": [ 41 ], - "C": [ 42 ], - "D": [ 44 ], - "Z": [ 75 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19335.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 75 ], - "BLUT": [ 74 ], - "C0": [ 43 ], - "Z": [ 62 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19336.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65264 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 46 ], - "B": [ 45 ], - "C": [ 51 ], - "D": [ 47 ], - "Z": [ 76 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19336.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 46 ], - "B": [ 45 ], - "C": [ 51 ], - "D": [ 47 ], - "Z": [ 77 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19336.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 77 ], - "BLUT": [ 76 ], - "C0": [ 78 ], - "Z": [ 19 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19337.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32767 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 82 ], - "Z": [ 83 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19337.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 82 ], - "Z": [ 84 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19337.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 84 ], - "BLUT": [ 83 ], - "C0": [ 33 ], - "Z": [ 78 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 85 ], - "B": [ 86 ], - "C": [ 87 ], - "D": [ 88 ], - "Z": [ 89 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 85 ], - "B": [ 86 ], - "C": [ 87 ], - "D": [ 88 ], - "Z": [ 90 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 85 ], - "B": [ 86 ], - "C": [ 87 ], - "D": [ 88 ], - "Z": [ 91 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 85 ], - "B": [ 86 ], - "C": [ 87 ], - "D": [ 88 ], - "Z": [ 92 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 90 ], - "BLUT": [ 89 ], - "C0": [ 93 ], - "Z": [ 94 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 92 ], - "BLUT": [ 91 ], - "C0": [ 93 ], - "Z": [ 95 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 94 ], - "D1": [ 95 ], - "SD": [ 96 ], - "Z": [ 82 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19339": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 97 ], - "B": [ 98 ], - "C": [ 58 ], - "D": [ "0" ], - "Z": [ 79 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19340": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20648": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -15340,14 +15081,14 @@ "Z": "output" }, "connections": { - "A": [ 99 ], - "B": [ 73 ], + "A": [ 77 ], + "B": [ 78 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 80 ] + "Z": [ 45 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19341": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20649": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -15365,18 +15106,234 @@ "Z": "output" }, "connections": { - "A": [ 37 ], - "B": [ 40 ], - "C": [ 63 ], - "D": [ 64 ], - "Z": [ 81 ] + "A": [ 79 ], + "B": [ 80 ], + "C": [ 81 ], + "D": [ 82 ], + "Z": [ 50 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19342": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20650": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 62464 + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 83 ], + "B": [ 24 ], + "C": [ 4 ], + "D": [ "0" ], + "Z": [ 53 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20651": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 70 ], + "B": [ 84 ], + "C": [ 85 ], + "D": [ "0" ], + "Z": [ 26 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 65 ], + "B": [ 66 ], + "C": [ 71 ], + "D": [ 72 ], + "Z": [ 86 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 65 ], + "B": [ 66 ], + "C": [ 71 ], + "D": [ 72 ], + "Z": [ 87 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 65 ], + "B": [ 66 ], + "C": [ 71 ], + "D": [ 72 ], + "Z": [ 88 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 65 ], + "B": [ 66 ], + "C": [ 71 ], + "D": [ 72 ], + "Z": [ 89 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 87 ], + "BLUT": [ 86 ], + "C0": [ 67 ], + "Z": [ 90 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 89 ], + "BLUT": [ 88 ], + "C0": [ 67 ], + "Z": [ 91 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 90 ], + "D1": [ 91 ], + "SD": [ 68 ], + "Z": [ 85 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20653": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 }, "attributes": { "module_not_derived": 1, @@ -15390,14 +15347,161 @@ "Z": "output" }, "connections": { - "A": [ 61 ], - "B": [ 100 ], - "C": [ 97 ], - "D": [ 31 ], - "Z": [ 20 ] + "A": [ 75 ], + "B": [ 79 ], + "C": [ 80 ], + "D": [ 81 ], + "Z": [ 84 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19343": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20654": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 61 ], + "C": [ 92 ], + "D": [ 93 ], + "Z": [ 27 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20655.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 31 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 55 ], + "C": [ 32 ], + "D": [ 69 ], + "Z": [ 94 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20655.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 55 ], + "C": [ 32 ], + "D": [ 69 ], + "Z": [ 95 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20655.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 95 ], + "BLUT": [ 94 ], + "C0": [ 56 ], + "Z": [ 92 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20656": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 73 ], + "B": [ 74 ], + "C": [ 76 ], + "D": [ "0" ], + "Z": [ 93 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20657": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 77 ], + "B": [ 78 ], + "C": [ 82 ], + "D": [ 96 ], + "Z": [ 28 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20658": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -15415,14 +15519,139 @@ "Z": "output" }, "connections": { - "A": [ 59 ], - "B": [ 60 ], + "A": [ 24 ], + "B": [ 83 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 100 ] + "Z": [ 96 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19344.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20659": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 97 ], + "B": [ 98 ], + "C": [ 99 ], + "D": [ "0" ], + "Z": [ 18 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 104 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 105 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 106 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 30719 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 107 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.lut4": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -15430,7 +15659,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" }, "port_directions": { "A": "input", @@ -15440,22 +15669,22 @@ "Z": "output" }, "connections": { - "A": [ 51 ], - "B": [ 33 ], - "C": [ 101 ], - "D": [ 102 ], - "Z": [ 103 ] + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 108 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19344.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.lut5": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 13 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" }, "port_directions": { "A": "input", @@ -15465,21 +15694,71 @@ "Z": "output" }, "connections": { - "A": [ 51 ], - "B": [ 33 ], - "C": [ 101 ], - "D": [ 102 ], - "Z": [ 104 ] + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 109 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19344.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 110 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 111 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" }, "port_directions": { "ALUT": "input", @@ -15488,195 +15767,42 @@ "Z": "output" }, "connections": { - "ALUT": [ 104 ], - "BLUT": [ 103 ], - "C0": [ 105 ], - "Z": [ 23 ] + "ALUT": [ 105 ], + "BLUT": [ 104 ], + "C0": [ 112 ], + "Z": [ 113 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19345": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61184 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 48 ], - "B": [ 88 ], - "C": [ 106 ], - "D": [ 33 ], - "Z": [ 101 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19346": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 85 ], - "B": [ 86 ], - "C": [ 93 ], - "D": [ 96 ], - "Z": [ 106 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19347": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 45 ], - "B": [ 46 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 102 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 31 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 107 ], - "Z": [ 108 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 107 ], - "Z": [ 109 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 107 ], - "Z": [ 110 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 107 ], - "Z": [ 111 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 107 ], + "BLUT": [ 106 ], + "C0": [ 112 ], + "Z": [ 114 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" }, "port_directions": { "ALUT": "input", @@ -15688,17 +15814,17 @@ "ALUT": [ 109 ], "BLUT": [ 108 ], "C0": [ 112 ], - "Z": [ 113 ] + "Z": [ 115 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.mux51": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.mux53": { "hide_name": 1, "type": "PFUMX", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" }, "port_directions": { "ALUT": "input", @@ -15710,17 +15836,17 @@ "ALUT": [ 111 ], "BLUT": [ 110 ], "C0": [ 112 ], - "Z": [ 114 ] + "Z": [ 116 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.mux6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.mux60": { "hide_name": 1, "type": "L6MUX21", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" }, "port_directions": { "D0": "input", @@ -15731,356 +15857,18 @@ "connections": { "D0": [ 113 ], "D1": [ 114 ], - "SD": [ 115 ], - "Z": [ 105 ] + "SD": [ 117 ], + "Z": [ 118 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19349": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65456 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 116 ], - "B": [ 117 ], - "C": [ 33 ], - "D": [ 118 ], - "Z": [ 119 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19350.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 120 ], - "B": [ 80 ], - "C": [ 121 ], - "D": [ 122 ], - "Z": [ 123 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19350.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63488 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 120 ], - "B": [ 80 ], - "C": [ 121 ], - "D": [ 122 ], - "Z": [ 124 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19350.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 124 ], - "BLUT": [ 123 ], - "C0": [ 51 ], - "Z": [ 116 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19351.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 106 ], - "B": [ 125 ], - "C": [ 126 ], - "D": [ 127 ], - "Z": [ 128 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19351.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32768 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 106 ], - "B": [ 125 ], - "C": [ 126 ], - "D": [ 127 ], - "Z": [ 129 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19351.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 129 ], - "BLUT": [ 128 ], - "C0": [ 130 ], - "Z": [ 120 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19352": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 98 ], - "B": [ 64 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 125 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 31 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 131 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 132 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 133 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 134 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 132 ], - "BLUT": [ 131 ], - "C0": [ 52 ], - "Z": [ 135 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 134 ], - "BLUT": [ 133 ], - "C0": [ 52 ], - "Z": [ 136 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.mux6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.mux61": { "hide_name": 1, "type": "L6MUX21", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" }, "port_directions": { "D0": "input", @@ -16089,21 +15877,43 @@ "Z": "output" }, "connections": { - "D0": [ 135 ], - "D1": [ 136 ], - "SD": [ 37 ], - "Z": [ 126 ] + "D0": [ 115 ], + "D1": [ 116 ], + "SD": [ 117 ], + "Z": [ 119 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19354": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.mux7": { "hide_name": 1, - "type": "LUT4", + "type": "L6MUX21", "parameters": { - "INIT": 1 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 118 ], + "D1": [ 119 ], + "SD": [ 120 ], + "Z": [ 99 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20661": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 224 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -16113,14 +15923,14 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 97 ], - "C": [ "0" ], + "A": [ 55 ], + "B": [ 54 ], + "C": [ 32 ], "D": [ "0" ], - "Z": [ 127 ] + "Z": [ 120 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19355": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20662": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16138,22 +15948,22 @@ "Z": "output" }, "connections": { - "A": [ 87 ], - "B": [ 88 ], - "C": [ 53 ], - "D": [ 54 ], - "Z": [ 130 ] + "A": [ 121 ], + "B": [ 122 ], + "C": [ 123 ], + "D": [ 124 ], + "Z": [ 103 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19356": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20663": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 36873 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { "A": "input", @@ -16163,22 +15973,22 @@ "Z": "output" }, "connections": { - "A": [ 137 ], - "B": [ 138 ], - "C": [ 32 ], - "D": [ "0" ], - "Z": [ 121 ] + "A": [ 125 ], + "B": [ 126 ], + "C": [ 127 ], + "D": [ 128 ], + "Z": [ 101 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19357.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20664": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1 + "INIT": 36873 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { "A": "input", @@ -16188,14 +15998,39 @@ "Z": "output" }, "connections": { - "A": [ 139 ], - "B": [ 140 ], - "C": [ 141 ], - "D": [ 142 ], - "Z": [ 143 ] + "A": [ 129 ], + "B": [ 130 ], + "C": [ 131 ], + "D": [ 132 ], + "Z": [ 100 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19357.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65279 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 68 ], + "C": [ 67 ], + "D": [ 133 ], + "Z": [ 134 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16203,7 +16038,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" }, "port_directions": { "A": "input", @@ -16213,36 +16048,14 @@ "Z": "output" }, "connections": { - "A": [ 139 ], - "B": [ 140 ], - "C": [ 141 ], - "D": [ 142 ], - "Z": [ 144 ] + "A": [ 61 ], + "B": [ 68 ], + "C": [ 67 ], + "D": [ 133 ], + "Z": [ 135 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19357.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 144 ], - "BLUT": [ 143 ], - "C0": [ 145 ], - "Z": [ 138 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19358.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16250,7 +16063,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" }, "port_directions": { "A": "input", @@ -16260,22 +16073,22 @@ "Z": "output" }, "connections": { - "A": [ 55 ], - "B": [ 52 ], - "C": [ 53 ], - "D": [ 54 ], - "Z": [ 146 ] + "A": [ 61 ], + "B": [ 68 ], + "C": [ 67 ], + "D": [ 133 ], + "Z": [ 136 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19358.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" }, "port_directions": { "A": "input", @@ -16285,36 +16098,293 @@ "Z": "output" }, "connections": { - "A": [ 55 ], - "B": [ 52 ], - "C": [ 53 ], - "D": [ 54 ], - "Z": [ 147 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19358.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 147 ], - "BLUT": [ 146 ], - "C0": [ 46 ], + "A": [ 61 ], + "B": [ 68 ], + "C": [ 67 ], + "D": [ 133 ], "Z": [ 137 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19359": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 68 ], + "C": [ 67 ], + "D": [ 133 ], + "Z": [ 138 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 68 ], + "C": [ 67 ], + "D": [ 133 ], + "Z": [ 139 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 68 ], + "C": [ 67 ], + "D": [ 133 ], + "Z": [ 140 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 68 ], + "C": [ 67 ], + "D": [ 133 ], + "Z": [ 141 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 135 ], + "BLUT": [ 134 ], + "C0": [ 142 ], + "Z": [ 143 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 137 ], + "BLUT": [ 136 ], + "C0": [ 142 ], + "Z": [ 144 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 139 ], + "BLUT": [ 138 ], + "C0": [ 142 ], + "Z": [ 145 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 141 ], + "BLUT": [ 140 ], + "C0": [ 142 ], + "Z": [ 146 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 143 ], + "D1": [ 144 ], + "SD": [ 112 ], + "Z": [ 147 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 145 ], + "D1": [ 146 ], + "SD": [ 112 ], + "Z": [ 148 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 147 ], + "D1": [ 148 ], + "SD": [ 149 ], + "Z": [ 102 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20666": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 93 ], + "Z": [ 98 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20667": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16332,43 +16402,18 @@ "Z": "output" }, "connections": { - "A": [ 112 ], - "B": [ 115 ], - "C": [ 107 ], + "A": [ 75 ], + "B": [ 79 ], + "C": [ 80 ], "D": [ "0" ], - "Z": [ 122 ] + "Z": [ 97 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19360": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 99 ], - "B": [ 120 ], - "C": [ 73 ], - "D": [ 148 ], - "Z": [ 117 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -16382,18 +16427,18 @@ "Z": "output" }, "connections": { - "A": [ 40 ], - "B": [ 37 ], - "C": [ 32 ], - "D": [ 149 ], - "Z": [ 150 ] + "A": [ 93 ], + "B": [ 150 ], + "C": [ 33 ], + "D": [ 151 ], + "Z": [ 152 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -16407,18 +16452,18 @@ "Z": "output" }, "connections": { - "A": [ 40 ], - "B": [ 37 ], - "C": [ 32 ], - "D": [ 149 ], - "Z": [ 151 ] + "A": [ 93 ], + "B": [ 150 ], + "C": [ 33 ], + "D": [ 151 ], + "Z": [ 153 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.lut2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -16432,18 +16477,18 @@ "Z": "output" }, "connections": { - "A": [ 40 ], - "B": [ 37 ], - "C": [ 32 ], - "D": [ 149 ], - "Z": [ 152 ] + "A": [ 93 ], + "B": [ 150 ], + "C": [ 33 ], + "D": [ 151 ], + "Z": [ 154 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 3584 + "INIT": 127 }, "attributes": { "module_not_derived": 1, @@ -16457,14 +16502,14 @@ "Z": "output" }, "connections": { - "A": [ 40 ], - "B": [ 37 ], - "C": [ 32 ], - "D": [ 149 ], - "Z": [ 153 ] + "A": [ 93 ], + "B": [ 150 ], + "C": [ 33 ], + "D": [ 151 ], + "Z": [ 155 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -16479,36 +16524,36 @@ "C0": "input", "Z": "output" }, - "connections": { - "ALUT": [ 151 ], - "BLUT": [ 150 ], - "C0": [ 106 ], - "Z": [ 154 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, "connections": { "ALUT": [ 153 ], "BLUT": [ 152 ], - "C0": [ 106 ], - "Z": [ 155 ] + "C0": [ 35 ], + "Z": [ 156 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.mux6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 155 ], + "BLUT": [ 154 ], + "C0": [ 35 ], + "Z": [ 157 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.mux6": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -16524,21 +16569,21 @@ "Z": "output" }, "connections": { - "D0": [ 154 ], - "D1": [ 155 ], - "SD": [ 156 ], - "Z": [ 148 ] + "D0": [ 156 ], + "D1": [ 157 ], + "SD": [ 34 ], + "Z": [ 20 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19362": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20669": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1 + "INIT": 16 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -16548,22 +16593,47 @@ "Z": "output" }, "connections": { - "A": [ 53 ], + "A": [ 75 ], + "B": [ 79 ], + "C": [ 80 ], + "D": [ "0" ], + "Z": [ 150 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20670.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65520 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], "B": [ 54 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 156 ] + "C": [ 56 ], + "D": [ 64 ], + "Z": [ 158 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19363": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20670.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1 + "INIT": 4368 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" }, "port_directions": { "A": "input", @@ -16573,39 +16643,36 @@ "Z": "output" }, "connections": { - "A": [ 87 ], - "B": [ 88 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 149 ] + "A": [ 55 ], + "B": [ 54 ], + "C": [ 56 ], + "D": [ 64 ], + "Z": [ 159 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19364": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20670.mux5": { "hide_name": 1, - "type": "LUT4", + "type": "PFUMX", "parameters": { - "INIT": 32768 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" }, "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", + "ALUT": "input", + "BLUT": "input", + "C0": "input", "Z": "output" }, "connections": { - "A": [ 120 ], - "B": [ 157 ], - "C": [ 158 ], - "D": [ 159 ], - "Z": [ 118 ] + "ALUT": [ 159 ], + "BLUT": [ 158 ], + "C0": [ 32 ], + "Z": [ 151 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19365": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20671": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16624,68 +16691,18 @@ }, "connections": { "A": [ 160 ], - "B": [ 36 ], + "B": [ 83 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 157 ] + "Z": [ 19 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19366": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20672": { "hide_name": 1, "type": "LUT4", "parameters": { "INIT": 1 }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 115 ], - "B": [ 107 ], - "C": [ 99 ], - "D": [ 73 ], - "Z": [ 160 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19367": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 112 ], - "B": [ 58 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 158 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19368": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 7 - }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" @@ -16698,22 +16715,22 @@ "Z": "output" }, "connections": { - "A": [ 51 ], - "B": [ 33 ], - "C": [ 4 ], + "A": [ 78 ], + "B": [ 81 ], + "C": [ 82 ], "D": [ "0" ], - "Z": [ 159 ] + "Z": [ 160 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19369": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20673.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65504 + "INIT": 32896 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" }, "port_directions": { "A": "input", @@ -16724,13 +16741,85 @@ }, "connections": { "A": [ 161 ], - "B": [ 112 ], + "B": [ 56 ], "C": [ 162 ], - "D": [ 4 ], + "D": [ 54 ], "Z": [ 163 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19370": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20673.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 161 ], + "B": [ 56 ], + "C": [ 162 ], + "D": [ 54 ], + "Z": [ 164 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20673.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 164 ], + "BLUT": [ 163 ], + "C0": [ 165 ], + "Z": [ 166 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20674": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 14 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 167 ], + "B": [ 168 ], + "C": [ 4 ], + "D": [ "0" ], + "Z": [ 165 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20675": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16748,68 +16837,381 @@ "Z": "output" }, "connections": { - "A": [ 46 ], - "B": [ 53 ], + "A": [ 162 ], + "B": [ 169 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 161 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19371": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 164 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ "0" ], - "Z": [ 162 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19372": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65504 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 112 ], - "C": [ 167 ], - "D": [ 4 ], "Z": [ 168 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19373": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20676": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 128 + "INIT": 7936 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 55 ], + "C": [ 32 ], + "D": [ 24 ], + "Z": [ 162 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20677.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 170 ], + "B": [ 171 ], + "C": [ 172 ], + "D": [ 173 ], + "Z": [ 174 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20677.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64960 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 170 ], + "B": [ 171 ], + "C": [ 172 ], + "D": [ 173 ], + "Z": [ 175 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20677.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 175 ], + "BLUT": [ 174 ], + "C0": [ 83 ], + "Z": [ 169 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20678.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], + "B": [ 54 ], + "C": [ 83 ], + "D": [ 24 ], + "Z": [ 176 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20678.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 273 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], + "B": [ 54 ], + "C": [ 83 ], + "D": [ 24 ], + "Z": [ 177 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20678.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 177 ], + "BLUT": [ 176 ], + "C0": [ 32 ], + "Z": [ 167 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20679": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 170 ], + "B": [ 172 ], + "C": [ 173 ], + "D": [ 171 ], + "Z": [ 161 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20680.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32896 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 178 ], + "B": [ 56 ], + "C": [ 162 ], + "D": [ 55 ], + "Z": [ 179 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20680.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 178 ], + "B": [ 56 ], + "C": [ 162 ], + "D": [ 55 ], + "Z": [ 180 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20680.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 180 ], + "BLUT": [ 179 ], + "C0": [ 165 ], + "Z": [ 181 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20681": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 171 ], + "B": [ 173 ], + "C": [ 170 ], + "D": [ 172 ], + "Z": [ 178 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20682.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32896 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 182 ], + "B": [ 56 ], + "C": [ 162 ], + "D": [ 69 ], + "Z": [ 183 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20682.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 182 ], + "B": [ 56 ], + "C": [ 162 ], + "D": [ 69 ], + "Z": [ 184 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20682.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 184 ], + "BLUT": [ 183 ], + "C0": [ 185 ], + "Z": [ 186 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20683": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 }, "attributes": { "module_not_derived": 1, @@ -16823,14 +17225,1234 @@ "Z": "output" }, "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], + "A": [ 120 ], + "B": [ 24 ], + "C": [ 4 ], "D": [ "0" ], - "Z": [ 167 ] + "Z": [ 185 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19374": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20684": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 171 ], + "B": [ 170 ], + "C": [ 173 ], + "D": [ 172 ], + "Z": [ 182 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20685": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 248 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 187 ], + "B": [ 188 ], + "C": [ 189 ], + "D": [ "0" ], + "Z": [ 190 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20686": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63488 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 191 ], + "B": [ 192 ], + "C": [ 185 ], + "D": [ 64 ], + "Z": [ 189 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20687": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 162 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 191 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20688": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15100 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 170 ], + "B": [ 171 ], + "C": [ 172 ], + "D": [ 173 ], + "Z": [ 192 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20689": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 120 ], + "B": [ 96 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 187 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20690": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 171 ], + "B": [ 170 ], + "C": [ 173 ], + "D": [ 172 ], + "Z": [ 188 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20691.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 511 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 193 ], + "B": [ 120 ], + "C": [ 24 ], + "D": [ 194 ], + "Z": [ 195 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20691.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 193 ], + "B": [ 120 ], + "C": [ 24 ], + "D": [ 194 ], + "Z": [ 196 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20691.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 196 ], + "BLUT": [ 195 ], + "C0": [ 197 ], + "Z": [ 198 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20692.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 199 ], + "B": [ 200 ], + "C": [ 201 ], + "D": [ 202 ], + "Z": [ 203 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20692.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61439 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 199 ], + "B": [ 200 ], + "C": [ 201 ], + "D": [ 202 ], + "Z": [ 204 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20692.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 204 ], + "BLUT": [ 203 ], + "C0": [ 205 ], + "Z": [ 197 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20693": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 208 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 206 ], + "B": [ 207 ], + "C": [ 169 ], + "D": [ "0" ], + "Z": [ 200 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20694": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 254 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 67 ], + "B": [ 68 ], + "C": [ 61 ], + "D": [ 133 ], + "Z": [ 207 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20695": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 13 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 117 ], + "B": [ 142 ], + "C": [ 55 ], + "D": [ 54 ], + "Z": [ 206 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20696.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 192 ], + "B": [ 64 ], + "C": [ 100 ], + "D": [ 101 ], + "Z": [ 208 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20696.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 30583 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 192 ], + "B": [ 64 ], + "C": [ 100 ], + "D": [ 101 ], + "Z": [ 209 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20696.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 209 ], + "BLUT": [ 208 ], + "C0": [ 210 ], + "Z": [ 202 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20697": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 73 ], + "B": [ 75 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 210 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20698": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 161 ], + "B": [ 178 ], + "C": [ 182 ], + "D": [ 56 ], + "Z": [ 199 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20699": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 211 ], + "B": [ 80 ], + "C": [ 212 ], + "D": [ 77 ], + "Z": [ 201 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20700": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64975 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 171 ], + "B": [ 172 ], + "C": [ 173 ], + "D": [ 170 ], + "Z": [ 212 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20701": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 171 ], + "B": [ 170 ], + "C": [ 172 ], + "D": [ 173 ], + "Z": [ 211 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20702": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 162 ], + "B": [ 4 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 205 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20703.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 33023 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 213 ], + "B": [ 35 ], + "C": [ 214 ], + "D": [ 4 ], + "Z": [ 215 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20703.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 213 ], + "B": [ 35 ], + "C": [ 214 ], + "D": [ 4 ], + "Z": [ 216 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20703.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 216 ], + "BLUT": [ 215 ], + "C0": [ 83 ], + "Z": [ 193 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20704": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 56 ], + "C": [ 36 ], + "D": [ 217 ], + "Z": [ 214 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20705": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 77 ], + "B": [ 79 ], + "C": [ 80 ], + "D": [ "0" ], + "Z": [ 217 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20706": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 65 ], + "B": [ 66 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 213 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20707.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 168 ], + "B": [ 218 ], + "C": [ 219 ], + "D": [ 220 ], + "Z": [ 221 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20707.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 168 ], + "B": [ 218 ], + "C": [ 219 ], + "D": [ 220 ], + "Z": [ 222 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20707.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 222 ], + "BLUT": [ 221 ], + "C0": [ 223 ], + "Z": [ 194 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 210 ], + "B": [ 100 ], + "C": [ 101 ], + "D": [ 224 ], + "Z": [ 225 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 210 ], + "B": [ 100 ], + "C": [ 101 ], + "D": [ 224 ], + "Z": [ 226 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 210 ], + "B": [ 100 ], + "C": [ 101 ], + "D": [ 224 ], + "Z": [ 227 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16639 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 210 ], + "B": [ 100 ], + "C": [ 101 ], + "D": [ 224 ], + "Z": [ 228 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 226 ], + "BLUT": [ 225 ], + "C0": [ 35 ], + "Z": [ 229 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 228 ], + "BLUT": [ 227 ], + "C0": [ 35 ], + "Z": [ 230 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 229 ], + "D1": [ 230 ], + "SD": [ 24 ], + "Z": [ 218 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20709": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 66 ], + "B": [ 76 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 224 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20710": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53248 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 206 ], + "B": [ 207 ], + "C": [ 167 ], + "D": [ 4 ], + "Z": [ 219 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20711.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 133 ], + "C": [ 231 ], + "D": [ 120 ], + "Z": [ 232 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20711.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 133 ], + "C": [ 231 ], + "D": [ 120 ], + "Z": [ 233 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20711.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 233 ], + "BLUT": [ 232 ], + "C0": [ 160 ], + "Z": [ 223 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20712": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 224 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 68 ], + "B": [ 67 ], + "C": [ 133 ], + "D": [ "0" ], + "Z": [ 231 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20713.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 55 ], + "C": [ 32 ], + "D": [ 117 ], + "Z": [ 234 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20713.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7936 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 55 ], + "C": [ 32 ], + "D": [ 117 ], + "Z": [ 235 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20713.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 235 ], + "BLUT": [ 234 ], + "C0": [ 142 ], + "Z": [ 220 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20714": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16848,14 +18470,39 @@ "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 112 ], - "C": [ 169 ], + "A": [ 236 ], + "B": [ 78 ], + "C": [ 237 ], "D": [ 4 ], - "Z": [ 170 ] + "Z": [ 238 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19375": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20715": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 133 ], + "B": [ 67 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 236 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20716": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16873,14 +18520,139 @@ "Z": "output" }, "connections": { - "A": [ 166 ], - "B": [ 165 ], - "C": [ 164 ], + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], "D": [ "0" ], - "Z": [ 169 ] + "Z": [ 237 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19376.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20717": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 78 ], + "C": [ 242 ], + "D": [ 4 ], + "Z": [ 243 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20718": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 241 ], + "B": [ 240 ], + "C": [ 239 ], + "D": [ "0" ], + "Z": [ 242 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20719": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 78 ], + "C": [ 244 ], + "D": [ 4 ], + "Z": [ 245 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20720": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ "0" ], + "Z": [ 244 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20721": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 79 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 246 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20722.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16898,14 +18670,14 @@ "Z": "output" }, "connections": { - "A": [ 171 ], - "B": [ 172 ], - "C": [ 173 ], - "D": [ 174 ], - "Z": [ 175 ] + "A": [ 247 ], + "B": [ 248 ], + "C": [ 249 ], + "D": [ 250 ], + "Z": [ 251 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19376.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20722.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16923,14 +18695,14 @@ "Z": "output" }, "connections": { - "A": [ 171 ], - "B": [ 172 ], - "C": [ 173 ], - "D": [ 174 ], - "Z": [ 176 ] + "A": [ 247 ], + "B": [ 248 ], + "C": [ 249 ], + "D": [ 250 ], + "Z": [ 252 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19376.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20722.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -16946,13 +18718,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 176 ], - "BLUT": [ 175 ], - "C0": [ 177 ], - "Z": [ 178 ] + "ALUT": [ 252 ], + "BLUT": [ 251 ], + "C0": [ 253 ], + "Z": [ 254 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19377": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20723": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16970,14 +18742,64 @@ "Z": "output" }, "connections": { - "A": [ 179 ], - "B": [ 180 ], - "C": [ 181 ], - "D": [ 182 ], - "Z": [ 177 ] + "A": [ 255 ], + "B": [ 256 ], + "C": [ 257 ], + "D": [ 258 ], + "Z": [ 253 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19378": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20724": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 259 ], + "B": [ 260 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 261 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20725": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 260 ], + "B": [ 259 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 262 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20726": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16995,139 +18817,14 @@ "Z": "output" }, "connections": { - "A": [ 183 ], - "B": [ 184 ], + "A": [ 260 ], + "B": [ 259 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 185 ] + "Z": [ 263 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19379": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 184 ], - "B": [ 183 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 186 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19380": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 183 ], - "B": [ 184 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 187 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19381": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 186 ], - "B": [ 188 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 189 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19382": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 183 ], - "B": [ 184 ], - "C": [ 188 ], - "D": [ "0" ], - "Z": [ 190 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19383": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 185 ], - "B": [ 188 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 191 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19384": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20727": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17145,14 +18842,14 @@ "Z": "output" }, "connections": { - "A": [ 192 ], - "B": [ 193 ], - "C": [ 44 ], - "D": [ 194 ], - "Z": [ 195 ] + "A": [ 264 ], + "B": [ 265 ], + "C": [ 172 ], + "D": [ 266 ], + "Z": [ 267 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19385": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20728": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17170,14 +18867,14 @@ "Z": "output" }, "connections": { - "A": [ 196 ], - "B": [ 197 ], - "C": [ 198 ], - "D": [ 199 ], - "Z": [ 194 ] + "A": [ 268 ], + "B": [ 269 ], + "C": [ 270 ], + "D": [ 271 ], + "Z": [ 266 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19386": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20729": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17195,14 +18892,39 @@ "Z": "output" }, "connections": { - "A": [ 36 ], - "B": [ 193 ], - "C": [ 156 ], - "D": [ 33 ], - "Z": [ 198 ] + "A": [ 32 ], + "B": [ 265 ], + "C": [ 272 ], + "D": [ 24 ], + "Z": [ 270 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19387": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20730": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 67 ], + "B": [ 68 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 272 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20731": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17220,14 +18942,14 @@ "Z": "output" }, "connections": { - "A": [ 69 ], - "B": [ 71 ], - "C": [ 65 ], - "D": [ 67 ], - "Z": [ 193 ] + "A": [ 125 ], + "B": [ 127 ], + "C": [ 129 ], + "D": [ 131 ], + "Z": [ 265 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19388": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20732": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17245,14 +18967,14 @@ "Z": "output" }, "connections": { - "A": [ 36 ], - "B": [ 52 ], + "A": [ 61 ], + "B": [ 32 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 199 ] + "Z": [ 271 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19389": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20733": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17270,14 +18992,14 @@ "Z": "output" }, "connections": { - "A": [ 156 ], - "B": [ 33 ], + "A": [ 272 ], + "B": [ 24 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 192 ] + "Z": [ 264 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19390": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20734": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17295,14 +19017,14 @@ "Z": "output" }, "connections": { - "A": [ 192 ], - "B": [ 193 ], - "C": [ 43 ], - "D": [ 200 ], - "Z": [ 201 ] + "A": [ 264 ], + "B": [ 265 ], + "C": [ 173 ], + "D": [ 273 ], + "Z": [ 274 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19391": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20735": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17320,14 +19042,14 @@ "Z": "output" }, "connections": { - "A": [ 202 ], - "B": [ 203 ], - "C": [ 198 ], - "D": [ 199 ], - "Z": [ 200 ] + "A": [ 275 ], + "B": [ 276 ], + "C": [ 270 ], + "D": [ 271 ], + "Z": [ 273 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19392": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20736": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17345,14 +19067,14 @@ "Z": "output" }, "connections": { - "A": [ 192 ], - "B": [ 193 ], - "C": [ 42 ], - "D": [ 204 ], - "Z": [ 205 ] + "A": [ 264 ], + "B": [ 265 ], + "C": [ 170 ], + "D": [ 277 ], + "Z": [ 278 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19393": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20737": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17370,14 +19092,14 @@ "Z": "output" }, "connections": { - "A": [ 206 ], - "B": [ 207 ], - "C": [ 198 ], - "D": [ 199 ], - "Z": [ 204 ] + "A": [ 279 ], + "B": [ 280 ], + "C": [ 270 ], + "D": [ 271 ], + "Z": [ 277 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19394": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20738": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17395,14 +19117,14 @@ "Z": "output" }, "connections": { - "A": [ 192 ], - "B": [ 193 ], - "C": [ 41 ], - "D": [ 208 ], - "Z": [ 209 ] + "A": [ 264 ], + "B": [ 265 ], + "C": [ 171 ], + "D": [ 281 ], + "Z": [ 282 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19395": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20739": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17420,14 +19142,14 @@ "Z": "output" }, "connections": { - "A": [ 210 ], - "B": [ 211 ], - "C": [ 198 ], - "D": [ 199 ], - "Z": [ 208 ] + "A": [ 283 ], + "B": [ 284 ], + "C": [ 270 ], + "D": [ 271 ], + "Z": [ 281 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19396": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20740": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17445,14 +19167,14 @@ "Z": "output" }, "connections": { - "A": [ 192 ], - "B": [ 212 ], - "C": [ 44 ], - "D": [ 213 ], - "Z": [ 214 ] + "A": [ 264 ], + "B": [ 285 ], + "C": [ 172 ], + "D": [ 286 ], + "Z": [ 287 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19397": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20741": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17470,14 +19192,14 @@ "Z": "output" }, "connections": { - "A": [ 215 ], - "B": [ 216 ], - "C": [ 217 ], - "D": [ 199 ], - "Z": [ 213 ] + "A": [ 288 ], + "B": [ 289 ], + "C": [ 290 ], + "D": [ 271 ], + "Z": [ 286 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19398": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20742": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17495,14 +19217,14 @@ "Z": "output" }, "connections": { - "A": [ 36 ], - "B": [ 212 ], - "C": [ 156 ], - "D": [ 33 ], - "Z": [ 217 ] + "A": [ 32 ], + "B": [ 285 ], + "C": [ 272 ], + "D": [ 24 ], + "Z": [ 290 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19399": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20743": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17520,14 +19242,14 @@ "Z": "output" }, "connections": { - "A": [ 65 ], - "B": [ 69 ], - "C": [ 71 ], - "D": [ 67 ], - "Z": [ 212 ] + "A": [ 129 ], + "B": [ 125 ], + "C": [ 127 ], + "D": [ 131 ], + "Z": [ 285 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19400": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20744": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17545,14 +19267,14 @@ "Z": "output" }, "connections": { - "A": [ 192 ], - "B": [ 212 ], - "C": [ 43 ], - "D": [ 218 ], - "Z": [ 219 ] + "A": [ 264 ], + "B": [ 285 ], + "C": [ 173 ], + "D": [ 291 ], + "Z": [ 292 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19401": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20745": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17570,14 +19292,14 @@ "Z": "output" }, "connections": { - "A": [ 220 ], - "B": [ 221 ], - "C": [ 217 ], - "D": [ 199 ], - "Z": [ 218 ] + "A": [ 293 ], + "B": [ 294 ], + "C": [ 290 ], + "D": [ 271 ], + "Z": [ 291 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19402": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20746": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17595,14 +19317,14 @@ "Z": "output" }, "connections": { - "A": [ 192 ], - "B": [ 212 ], - "C": [ 42 ], - "D": [ 222 ], - "Z": [ 223 ] + "A": [ 264 ], + "B": [ 285 ], + "C": [ 170 ], + "D": [ 295 ], + "Z": [ 296 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19403": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20747": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17620,14 +19342,14 @@ "Z": "output" }, "connections": { - "A": [ 224 ], - "B": [ 225 ], - "C": [ 217 ], - "D": [ 199 ], - "Z": [ 222 ] + "A": [ 297 ], + "B": [ 298 ], + "C": [ 290 ], + "D": [ 271 ], + "Z": [ 295 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19404": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20748": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17645,14 +19367,14 @@ "Z": "output" }, "connections": { - "A": [ 192 ], - "B": [ 212 ], - "C": [ 41 ], - "D": [ 226 ], - "Z": [ 227 ] + "A": [ 264 ], + "B": [ 285 ], + "C": [ 171 ], + "D": [ 299 ], + "Z": [ 300 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19405": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20749": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17670,14 +19392,14 @@ "Z": "output" }, "connections": { - "A": [ 228 ], - "B": [ 229 ], - "C": [ 217 ], - "D": [ 199 ], - "Z": [ 226 ] + "A": [ 301 ], + "B": [ 302 ], + "C": [ 290 ], + "D": [ 271 ], + "Z": [ 299 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19406": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20750": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17695,14 +19417,14 @@ "Z": "output" }, "connections": { - "A": [ 192 ], - "B": [ 230 ], - "C": [ 44 ], - "D": [ 231 ], - "Z": [ 232 ] + "A": [ 264 ], + "B": [ 303 ], + "C": [ 172 ], + "D": [ 304 ], + "Z": [ 305 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19407": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20751": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17720,14 +19442,14 @@ "Z": "output" }, "connections": { - "A": [ 233 ], - "B": [ 234 ], - "C": [ 235 ], - "D": [ 199 ], - "Z": [ 231 ] + "A": [ 306 ], + "B": [ 307 ], + "C": [ 308 ], + "D": [ 271 ], + "Z": [ 304 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19408": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20752": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17745,14 +19467,14 @@ "Z": "output" }, "connections": { - "A": [ 36 ], - "B": [ 230 ], - "C": [ 156 ], - "D": [ 33 ], - "Z": [ 235 ] + "A": [ 32 ], + "B": [ 303 ], + "C": [ 272 ], + "D": [ 24 ], + "Z": [ 308 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19409": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20753": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -17769,4985 +19491,4889 @@ "D": "input", "Z": "output" }, + "connections": { + "A": [ 131 ], + "B": [ 125 ], + "C": [ 127 ], + "D": [ 129 ], + "Z": [ 303 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20754": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 264 ], + "B": [ 303 ], + "C": [ 173 ], + "D": [ 309 ], + "Z": [ 310 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20755": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 311 ], + "B": [ 312 ], + "C": [ 308 ], + "D": [ 271 ], + "Z": [ 309 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20756": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 264 ], + "B": [ 313 ], + "C": [ 173 ], + "D": [ 314 ], + "Z": [ 315 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20757": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 316 ], + "B": [ 317 ], + "C": [ 318 ], + "D": [ 271 ], + "Z": [ 314 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20758": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 32 ], + "B": [ 313 ], + "C": [ 272 ], + "D": [ 24 ], + "Z": [ 318 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20759": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 129 ], + "B": [ 131 ], + "C": [ 125 ], + "D": [ 127 ], + "Z": [ 313 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20760": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 264 ], + "B": [ 303 ], + "C": [ 170 ], + "D": [ 319 ], + "Z": [ 320 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20761": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 321 ], + "B": [ 322 ], + "C": [ 308 ], + "D": [ 271 ], + "Z": [ 319 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20762": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 264 ], + "B": [ 313 ], + "C": [ 170 ], + "D": [ 323 ], + "Z": [ 324 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20763": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 325 ], + "B": [ 326 ], + "C": [ 318 ], + "D": [ 271 ], + "Z": [ 323 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20764": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 264 ], + "B": [ 303 ], + "C": [ 171 ], + "D": [ 327 ], + "Z": [ 328 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20765": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 329 ], + "B": [ 330 ], + "C": [ 308 ], + "D": [ 271 ], + "Z": [ 327 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20766": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 264 ], + "B": [ 313 ], + "C": [ 171 ], + "D": [ 331 ], + "Z": [ 332 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20767": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 333 ], + "B": [ 334 ], + "C": [ 318 ], + "D": [ 271 ], + "Z": [ 331 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20768": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 264 ], + "B": [ 313 ], + "C": [ 172 ], + "D": [ 335 ], + "Z": [ 336 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20769": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 337 ], + "B": [ 338 ], + "C": [ 318 ], + "D": [ 271 ], + "Z": [ 335 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20770": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 224 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 32 ], + "B": [ 24 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 339 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20771": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 261 ], + "B": [ 340 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 341 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20772": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 262 ], + "B": [ 340 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 342 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20773": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 340 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 343 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20774": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 260 ], + "B": [ 259 ], + "C": [ 340 ], + "D": [ "0" ], + "Z": [ 344 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20775": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 334 ], + "D": [ "0" ], + "Z": [ 346 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20776": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ "0" ], + "Z": [ 345 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20777": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 326 ], + "D": [ "0" ], + "Z": [ 347 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20778": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 317 ], + "D": [ "0" ], + "Z": [ 348 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20779": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 338 ], + "D": [ "0" ], + "Z": [ 349 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20780": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 330 ], + "D": [ "0" ], + "Z": [ 350 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20781": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 351 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20782": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 312 ], + "D": [ "0" ], + "Z": [ 352 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20783": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 307 ], + "D": [ "0" ], + "Z": [ 353 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20784": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 302 ], + "D": [ "0" ], + "Z": [ 354 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20785": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 298 ], + "D": [ "0" ], + "Z": [ 355 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20786": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 294 ], + "D": [ "0" ], + "Z": [ 356 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20787": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 289 ], + "D": [ "0" ], + "Z": [ 357 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20788": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 284 ], + "D": [ "0" ], + "Z": [ 358 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20789": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 280 ], + "D": [ "0" ], + "Z": [ 359 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20790": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 276 ], + "D": [ "0" ], + "Z": [ 360 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20791": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 345 ], + "C": [ 269 ], + "D": [ "0" ], + "Z": [ 361 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20792": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 334 ], + "D": [ "0" ], + "Z": [ 362 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20793": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 326 ], + "D": [ "0" ], + "Z": [ 363 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20794": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 317 ], + "D": [ "0" ], + "Z": [ 364 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20795": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 338 ], + "D": [ "0" ], + "Z": [ 365 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20796": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 330 ], + "D": [ "0" ], + "Z": [ 366 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20797": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 367 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20798": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 312 ], + "D": [ "0" ], + "Z": [ 368 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20799": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 307 ], + "D": [ "0" ], + "Z": [ 369 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20800": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 302 ], + "D": [ "0" ], + "Z": [ 370 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20801": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 298 ], + "D": [ "0" ], + "Z": [ 371 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20802": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 294 ], + "D": [ "0" ], + "Z": [ 372 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20803": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 289 ], + "D": [ "0" ], + "Z": [ 373 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20804": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 284 ], + "D": [ "0" ], + "Z": [ 374 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20805": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 280 ], + "D": [ "0" ], + "Z": [ 375 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20806": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 276 ], + "D": [ "0" ], + "Z": [ 376 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20807": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 237 ], + "C": [ 269 ], + "D": [ "0" ], + "Z": [ 377 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20808": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 334 ], + "D": [ "0" ], + "Z": [ 379 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20809": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 239 ], + "C": [ 241 ], + "D": [ "0" ], + "Z": [ 378 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20810": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 326 ], + "D": [ "0" ], + "Z": [ 380 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20811": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 317 ], + "D": [ "0" ], + "Z": [ 381 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20812": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 338 ], + "D": [ "0" ], + "Z": [ 382 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20813": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 330 ], + "D": [ "0" ], + "Z": [ 383 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20814": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 384 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20815": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 312 ], + "D": [ "0" ], + "Z": [ 385 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20816": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 307 ], + "D": [ "0" ], + "Z": [ 386 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20817": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 302 ], + "D": [ "0" ], + "Z": [ 387 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20818": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 298 ], + "D": [ "0" ], + "Z": [ 388 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20819": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 294 ], + "D": [ "0" ], + "Z": [ 389 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20820": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 289 ], + "D": [ "0" ], + "Z": [ 390 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20821": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 284 ], + "D": [ "0" ], + "Z": [ 391 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20822": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 280 ], + "D": [ "0" ], + "Z": [ 392 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20823": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 276 ], + "D": [ "0" ], + "Z": [ 393 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20824": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 378 ], + "C": [ 269 ], + "D": [ "0" ], + "Z": [ 394 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20825": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 78 ], + "C": [ 345 ], + "D": [ 4 ], + "Z": [ 395 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20826": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 78 ], + "C": [ 378 ], + "D": [ 4 ], + "Z": [ 396 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20827": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 334 ], + "D": [ "0" ], + "Z": [ 397 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20828": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 326 ], + "D": [ "0" ], + "Z": [ 398 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20829": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 317 ], + "D": [ "0" ], + "Z": [ 399 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20830": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 338 ], + "D": [ "0" ], + "Z": [ 400 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20831": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 330 ], + "D": [ "0" ], + "Z": [ 401 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20832": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 402 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20833": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 312 ], + "D": [ "0" ], + "Z": [ 403 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20834": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 307 ], + "D": [ "0" ], + "Z": [ 404 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20835": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 302 ], + "D": [ "0" ], + "Z": [ 405 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20836": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 298 ], + "D": [ "0" ], + "Z": [ 406 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20837": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 294 ], + "D": [ "0" ], + "Z": [ 407 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20838": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 289 ], + "D": [ "0" ], + "Z": [ 408 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20839": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 284 ], + "D": [ "0" ], + "Z": [ 409 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20840": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 280 ], + "D": [ "0" ], + "Z": [ 410 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20841": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 276 ], + "D": [ "0" ], + "Z": [ 411 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20842": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 244 ], + "C": [ 269 ], + "D": [ "0" ], + "Z": [ 412 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20843": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 160 ], + "B": [ 167 ], + "C": [ 121 ], + "D": [ 413 ], + "Z": [ 414 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20844": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 26 ], + "B": [ 27 ], + "C": [ 415 ], + "D": [ 24 ], + "Z": [ 413 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20845.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 83 ], + "B": [ 24 ], + "C": [ 78 ], + "D": [ 82 ], + "Z": [ 416 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20845.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 83 ], + "B": [ 24 ], + "C": [ 78 ], + "D": [ 82 ], + "Z": [ 417 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20845.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 417 ], + "BLUT": [ 416 ], + "C0": [ 4 ], + "Z": [ 415 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20846": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 334 ], + "D": [ "0" ], + "Z": [ 419 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20847": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ "0" ], + "Z": [ 418 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20848": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 326 ], + "D": [ "0" ], + "Z": [ 420 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20849": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 317 ], + "D": [ "0" ], + "Z": [ 421 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20850": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 338 ], + "D": [ "0" ], + "Z": [ 422 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20851": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 330 ], + "D": [ "0" ], + "Z": [ 423 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20852": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 424 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20853": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 312 ], + "D": [ "0" ], + "Z": [ 425 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20854": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 307 ], + "D": [ "0" ], + "Z": [ 426 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20855": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 302 ], + "D": [ "0" ], + "Z": [ 427 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20856": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 298 ], + "D": [ "0" ], + "Z": [ 428 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20857": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 294 ], + "D": [ "0" ], + "Z": [ 429 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20858": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 289 ], + "D": [ "0" ], + "Z": [ 430 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20859": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 284 ], + "D": [ "0" ], + "Z": [ 431 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20860": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 280 ], + "D": [ "0" ], + "Z": [ 432 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20861": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 276 ], + "D": [ "0" ], + "Z": [ 433 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20862": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 418 ], + "C": [ 269 ], + "D": [ "0" ], + "Z": [ 434 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20863": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 334 ], + "D": [ "0" ], + "Z": [ 435 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20864": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 326 ], + "D": [ "0" ], + "Z": [ 436 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20865": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 317 ], + "D": [ "0" ], + "Z": [ 437 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20866": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 338 ], + "D": [ "0" ], + "Z": [ 438 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20867": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 330 ], + "D": [ "0" ], + "Z": [ 439 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20868": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 440 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20869": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 312 ], + "D": [ "0" ], + "Z": [ 441 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20870": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 307 ], + "D": [ "0" ], + "Z": [ 442 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20871": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 302 ], + "D": [ "0" ], + "Z": [ 443 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20872": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 298 ], + "D": [ "0" ], + "Z": [ 444 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20873": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 294 ], + "D": [ "0" ], + "Z": [ 445 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20874": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 289 ], + "D": [ "0" ], + "Z": [ 446 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20875": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 284 ], + "D": [ "0" ], + "Z": [ 447 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20876": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 280 ], + "D": [ "0" ], + "Z": [ 448 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20877": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 276 ], + "D": [ "0" ], + "Z": [ 449 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20878": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 242 ], + "C": [ 269 ], + "D": [ "0" ], + "Z": [ 450 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20879": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 334 ], + "D": [ "0" ], + "Z": [ 452 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20880": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ "0" ], + "Z": [ 451 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20881": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 326 ], + "D": [ "0" ], + "Z": [ 453 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20882": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 317 ], + "D": [ "0" ], + "Z": [ 454 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20883": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 338 ], + "D": [ "0" ], + "Z": [ 455 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20884": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 330 ], + "D": [ "0" ], + "Z": [ 456 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20885": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 457 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20886": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 312 ], + "D": [ "0" ], + "Z": [ 458 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20887": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 307 ], + "D": [ "0" ], + "Z": [ 459 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20888": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 302 ], + "D": [ "0" ], + "Z": [ 460 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20889": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 298 ], + "D": [ "0" ], + "Z": [ 461 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20890": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 294 ], + "D": [ "0" ], + "Z": [ 462 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20891": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 289 ], + "D": [ "0" ], + "Z": [ 463 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20892": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 284 ], + "D": [ "0" ], + "Z": [ 464 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20893": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 280 ], + "D": [ "0" ], + "Z": [ 465 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20894": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 276 ], + "D": [ "0" ], + "Z": [ 466 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20895": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 451 ], + "C": [ 269 ], + "D": [ "0" ], + "Z": [ 467 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20896": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 468 ], + "C": [ 172 ], + "D": [ 469 ], + "Z": [ 470 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20897": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 265 ], + "B": [ 471 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 469 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20898": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 75 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 471 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20899": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 472 ], + "C": [ 173 ], + "D": [ 469 ], + "Z": [ 473 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20900": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 474 ], + "C": [ 170 ], + "D": [ 469 ], + "Z": [ 475 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20901": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 476 ], + "C": [ 171 ], + "D": [ 469 ], + "Z": [ 477 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20902": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 478 ], + "C": [ 172 ], + "D": [ 479 ], + "Z": [ 480 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20903": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 285 ], + "B": [ 471 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 479 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20904": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 481 ], + "C": [ 173 ], + "D": [ 479 ], + "Z": [ 482 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20905": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 483 ], + "C": [ 170 ], + "D": [ 479 ], + "Z": [ 484 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20906": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 485 ], + "C": [ 171 ], + "D": [ 479 ], + "Z": [ 486 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20907": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 487 ], + "C": [ 172 ], + "D": [ 488 ], + "Z": [ 489 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20908": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 303 ], + "B": [ 471 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 488 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20909": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 490 ], + "C": [ 173 ], + "D": [ 488 ], + "Z": [ 491 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20910": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 492 ], + "C": [ 173 ], + "D": [ 493 ], + "Z": [ 494 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20911": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 313 ], + "B": [ 471 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 493 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20912": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 495 ], + "C": [ 170 ], + "D": [ 488 ], + "Z": [ 496 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20913": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 497 ], + "C": [ 170 ], + "D": [ 493 ], + "Z": [ 498 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20914": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 499 ], + "C": [ 171 ], + "D": [ 488 ], + "Z": [ 500 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20915": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 501 ], + "C": [ 171 ], + "D": [ 493 ], + "Z": [ 502 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20916": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61508 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 503 ], + "C": [ 172 ], + "D": [ 493 ], + "Z": [ 504 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20917.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 505 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 333 ], + "Z": [ 507 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20917.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 505 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 333 ], + "Z": [ 508 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20917.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 508 ], + "BLUT": [ 507 ], + "C0": [ 509 ], + "Z": [ 510 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 511 ], + "B": [ 512 ], + "C": [ 513 ], + "D": [ 514 ], + "Z": [ 515 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 511 ], + "B": [ 512 ], + "C": [ 513 ], + "D": [ 514 ], + "Z": [ 516 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 511 ], + "B": [ 512 ], + "C": [ 513 ], + "D": [ 514 ], + "Z": [ 517 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 511 ], + "B": [ 512 ], + "C": [ 513 ], + "D": [ 514 ], + "Z": [ 518 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 511 ], + "B": [ 512 ], + "C": [ 513 ], + "D": [ 514 ], + "Z": [ 519 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 511 ], + "B": [ 512 ], + "C": [ 513 ], + "D": [ 514 ], + "Z": [ 520 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 511 ], + "B": [ 512 ], + "C": [ 513 ], + "D": [ 514 ], + "Z": [ 521 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 511 ], + "B": [ 512 ], + "C": [ 513 ], + "D": [ 514 ], + "Z": [ 522 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 516 ], + "BLUT": [ 515 ], + "C0": [ 523 ], + "Z": [ 524 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 518 ], + "BLUT": [ 517 ], + "C0": [ 523 ], + "Z": [ 525 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 520 ], + "BLUT": [ 519 ], + "C0": [ 523 ], + "Z": [ 526 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 522 ], + "BLUT": [ 521 ], + "C0": [ 523 ], + "Z": [ 527 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 524 ], + "D1": [ 525 ], + "SD": [ 528 ], + "Z": [ 529 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 526 ], + "D1": [ 527 ], + "SD": [ 528 ], + "Z": [ 530 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 529 ], + "D1": [ 530 ], + "SD": [ 78 ], + "Z": [ 509 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20919": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 531 ], + "B": [ 133 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 528 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20920": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 67 ], + "B": [ 68 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 531 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20921": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 532 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 511 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20922": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 378 ], + "B": [ 533 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 512 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20923": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 534 ], + "B": [ 418 ], + "C": [ 535 ], + "D": [ 536 ], + "Z": [ 513 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20924": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 537 ], + "D": [ 239 ], + "Z": [ 536 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20925": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 538 ], + "Z": [ 535 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20926.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 539 ], + "C": [ 540 ], + "D": [ 237 ], + "Z": [ 541 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20926.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 539 ], + "C": [ 540 ], + "D": [ 237 ], + "Z": [ 542 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20926.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 542 ], + "BLUT": [ 541 ], + "C0": [ 543 ], + "Z": [ 514 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20927": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 544 ], + "Z": [ 543 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20928": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 334 ], + "C": [ 545 ], + "D": [ 121 ], + "Z": [ 523 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20929": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, "connections": { "A": [ 67 ], - "B": [ 69 ], - "C": [ 71 ], - "D": [ 65 ], - "Z": [ 230 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19410": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 192 ], - "B": [ 230 ], - "C": [ 43 ], - "D": [ 236 ], - "Z": [ 237 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19411": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 238 ], - "B": [ 239 ], - "C": [ 235 ], - "D": [ 199 ], - "Z": [ 236 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19412": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 192 ], - "B": [ 240 ], - "C": [ 43 ], - "D": [ 241 ], - "Z": [ 242 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19413": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 243 ], - "B": [ 244 ], - "C": [ 245 ], - "D": [ 199 ], - "Z": [ 241 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19414": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 36 ], - "B": [ 240 ], - "C": [ 156 ], - "D": [ 33 ], - "Z": [ 245 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19415": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 65 ], - "B": [ 67 ], - "C": [ 69 ], - "D": [ 71 ], - "Z": [ 240 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19416": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 192 ], - "B": [ 230 ], - "C": [ 42 ], - "D": [ 246 ], - "Z": [ 247 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19417": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 248 ], - "B": [ 249 ], - "C": [ 235 ], - "D": [ 199 ], - "Z": [ 246 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19418": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 192 ], - "B": [ 240 ], - "C": [ 42 ], - "D": [ 250 ], - "Z": [ 251 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19419": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 252 ], - "B": [ 253 ], - "C": [ 245 ], - "D": [ 199 ], - "Z": [ 250 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19420": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 192 ], - "B": [ 230 ], - "C": [ 41 ], - "D": [ 254 ], - "Z": [ 255 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19421": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 256 ], - "B": [ 257 ], - "C": [ 235 ], - "D": [ 199 ], - "Z": [ 254 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19422": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 192 ], - "B": [ 240 ], - "C": [ 41 ], - "D": [ 258 ], - "Z": [ 259 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19423": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 260 ], - "B": [ 261 ], - "C": [ 245 ], - "D": [ 199 ], - "Z": [ 258 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19424": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 192 ], - "B": [ 240 ], - "C": [ 44 ], - "D": [ 262 ], - "Z": [ 263 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19425": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 264 ], - "B": [ 265 ], - "C": [ 245 ], - "D": [ 199 ], - "Z": [ 262 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19426": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 261 ], - "D": [ "0" ], - "Z": [ 266 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19427": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 253 ], - "D": [ "0" ], - "Z": [ 267 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19428": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 244 ], - "D": [ "0" ], - "Z": [ 268 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19429": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 265 ], - "D": [ "0" ], - "Z": [ 269 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19430": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 257 ], - "D": [ "0" ], - "Z": [ 270 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19431": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 249 ], - "D": [ "0" ], - "Z": [ 271 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19432": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 239 ], - "D": [ "0" ], - "Z": [ 272 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19433": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 234 ], - "D": [ "0" ], - "Z": [ 273 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19434": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 229 ], - "D": [ "0" ], - "Z": [ 274 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19435": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 225 ], - "D": [ "0" ], - "Z": [ 275 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19436": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 221 ], - "D": [ "0" ], - "Z": [ 276 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19437": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 216 ], - "D": [ "0" ], - "Z": [ 277 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19438": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 211 ], - "D": [ "0" ], - "Z": [ 278 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19439": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 207 ], - "D": [ "0" ], - "Z": [ 279 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19440": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 203 ], - "D": [ "0" ], - "Z": [ 280 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19441": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 167 ], - "C": [ 197 ], - "D": [ "0" ], - "Z": [ 281 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19442": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 31 ], - "B": [ 282 ], - "C": [ 73 ], - "D": [ 283 ], - "Z": [ 284 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19443": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 28672 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 100 ], - "C": [ 285 ], - "D": [ 63 ], - "Z": [ 283 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19444": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 31 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 4 ], - "Z": [ 285 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19445": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 43 ], - "B": [ 42 ], - "C": [ 41 ], - "D": [ 44 ], - "Z": [ 282 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19446.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 47883 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 260 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 288 ], - "Z": [ 289 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19446.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 260 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 288 ], - "Z": [ 290 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19446.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 290 ], - "BLUT": [ 289 ], - "C0": [ 291 ], - "Z": [ 292 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 293 ], - "B": [ 294 ], - "C": [ 295 ], - "D": [ 296 ], - "Z": [ 297 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 293 ], - "B": [ 294 ], - "C": [ 295 ], - "D": [ 296 ], - "Z": [ 298 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 293 ], - "B": [ 294 ], - "C": [ 295 ], - "D": [ 296 ], - "Z": [ 299 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 293 ], - "B": [ 294 ], - "C": [ 295 ], - "D": [ 296 ], - "Z": [ 300 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 293 ], - "B": [ 294 ], - "C": [ 295 ], - "D": [ 296 ], - "Z": [ 301 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 293 ], - "B": [ 294 ], - "C": [ 295 ], - "D": [ 296 ], - "Z": [ 302 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 293 ], - "B": [ 294 ], - "C": [ 295 ], - "D": [ 296 ], - "Z": [ 303 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 293 ], - "B": [ 294 ], - "C": [ 295 ], - "D": [ 296 ], - "Z": [ 304 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 298 ], - "BLUT": [ 297 ], - "C0": [ 305 ], - "Z": [ 306 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 300 ], - "BLUT": [ 299 ], - "C0": [ 305 ], - "Z": [ 307 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 302 ], - "BLUT": [ 301 ], - "C0": [ 305 ], - "Z": [ 308 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 304 ], - "BLUT": [ 303 ], - "C0": [ 305 ], - "Z": [ 309 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 306 ], - "D1": [ 307 ], - "SD": [ 102 ], - "Z": [ 310 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 308 ], - "D1": [ 309 ], - "SD": [ 102 ], - "Z": [ 311 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 310 ], - "D1": [ 311 ], - "SD": [ 112 ], - "Z": [ 291 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19448": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 312 ], - "B": [ 313 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 293 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19449": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ "0" ], - "Z": [ 312 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19450": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 315 ], - "C": [ 316 ], - "D": [ 317 ], - "Z": [ 294 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19451": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 165 ], - "B": [ 164 ], - "C": [ 166 ], - "D": [ "0" ], - "Z": [ 316 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19452": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 164 ], - "B": [ 166 ], - "C": [ 165 ], - "D": [ "0" ], - "Z": [ 314 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19453": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 318 ], - "C": [ 162 ], - "D": [ 319 ], - "Z": [ 295 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19454.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 320 ], - "B": [ 321 ], - "C": [ 322 ], - "D": [ 169 ], - "Z": [ 323 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19454.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 320 ], - "B": [ 321 ], - "C": [ 322 ], - "D": [ 169 ], - "Z": [ 324 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19454.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 324 ], - "BLUT": [ 323 ], - "C0": [ 325 ], - "Z": [ 296 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19455": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ "0" ], - "Z": [ 321 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19456": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ 326 ], - "Z": [ 325 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19457": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4027 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 261 ], - "C": [ 327 ], - "D": [ 139 ], - "Z": [ 305 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19458": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 328 ], - "B": [ 52 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 287 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19459": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 53 ], - "B": [ 54 ], - "C": [ 46 ], - "D": [ "0" ], - "Z": [ 328 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19460": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 156 ], - "B": [ 46 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 286 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19461.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 329 ], - "B": [ 330 ], - "C": [ 102 ], - "D": [ 331 ], - "Z": [ 332 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19461.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61687 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 329 ], - "B": [ 330 ], - "C": [ 102 ], - "D": [ 331 ], - "Z": [ 333 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19461.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 333 ], - "BLUT": [ 332 ], - "C0": [ 334 ], - "Z": [ 335 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19462": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 336 ], - "B": [ 316 ], - "C": [ 337 ], - "D": [ "0" ], - "Z": [ 330 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19463": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 338 ], - "C": [ 162 ], - "D": [ 339 ], - "Z": [ 337 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19464.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 340 ], - "B": [ 341 ], - "C": [ 342 ], - "D": [ 112 ], - "Z": [ 343 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19464.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 28672 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 340 ], - "B": [ 341 ], - "C": [ 342 ], - "D": [ 112 ], - "Z": [ 344 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19464.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 344 ], - "BLUT": [ 343 ], - "C0": [ 345 ], - "Z": [ 329 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19465": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 346 ], - "B": [ 321 ], - "C": [ 312 ], - "D": [ 347 ], - "Z": [ 342 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19466": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 348 ], - "C": [ 169 ], - "D": [ 349 ], - "Z": [ 345 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19467": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ "0" ], - "Z": [ 341 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19468": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 45243 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 252 ], - "B": [ 286 ], - "C": [ 350 ], - "D": [ 287 ], - "Z": [ 334 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19469.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 221 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 253 ], - "B": [ 4 ], - "C": [ 351 ], - "D": [ 112 ], - "Z": [ 352 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19469.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 15 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 253 ], - "B": [ 4 ], - "C": [ 351 ], - "D": [ 112 ], - "Z": [ 353 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19469.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 353 ], - "BLUT": [ 352 ], - "C0": [ 139 ], - "Z": [ 331 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19470.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 47883 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 243 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 354 ], - "Z": [ 355 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19470.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 243 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 354 ], - "Z": [ 356 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19470.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 356 ], - "BLUT": [ 355 ], - "C0": [ 357 ], - "Z": [ 358 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 359 ], - "B": [ 360 ], - "C": [ 361 ], - "D": [ 362 ], - "Z": [ 363 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 359 ], - "B": [ 360 ], - "C": [ 361 ], - "D": [ 362 ], - "Z": [ 364 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 359 ], - "B": [ 360 ], - "C": [ 361 ], - "D": [ 362 ], - "Z": [ 365 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 359 ], - "B": [ 360 ], - "C": [ 361 ], - "D": [ 362 ], - "Z": [ 366 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 359 ], - "B": [ 360 ], - "C": [ 361 ], - "D": [ 362 ], - "Z": [ 367 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 359 ], - "B": [ 360 ], - "C": [ 361 ], - "D": [ 362 ], - "Z": [ 368 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 359 ], - "B": [ 360 ], - "C": [ 361 ], - "D": [ 362 ], - "Z": [ 369 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 359 ], - "B": [ 360 ], - "C": [ 361 ], - "D": [ 362 ], - "Z": [ 370 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 364 ], - "BLUT": [ 363 ], - "C0": [ 371 ], - "Z": [ 372 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 366 ], - "BLUT": [ 365 ], - "C0": [ 371 ], - "Z": [ 373 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 368 ], - "BLUT": [ 367 ], - "C0": [ 371 ], - "Z": [ 374 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 370 ], - "BLUT": [ 369 ], - "C0": [ 371 ], - "Z": [ 375 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 372 ], - "D1": [ 373 ], - "SD": [ 102 ], - "Z": [ 376 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 374 ], - "D1": [ 375 ], - "SD": [ 102 ], - "Z": [ 377 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 376 ], - "D1": [ 377 ], - "SD": [ 112 ], - "Z": [ 357 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19472": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 312 ], - "B": [ 378 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 359 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19473": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 379 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 360 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19474.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 380 ], - "C": [ 381 ], - "D": [ 316 ], - "Z": [ 382 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19474.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 380 ], - "C": [ 381 ], - "D": [ 316 ], - "Z": [ 383 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19474.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 383 ], - "BLUT": [ 382 ], - "C0": [ 384 ], - "Z": [ 361 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19475": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 164 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 385 ], - "Z": [ 384 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19476.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 386 ], - "B": [ 321 ], - "C": [ 387 ], - "D": [ 169 ], - "Z": [ 388 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19476.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 386 ], - "B": [ 321 ], - "C": [ 387 ], - "D": [ 169 ], - "Z": [ 389 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19476.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 389 ], - "BLUT": [ 388 ], - "C0": [ 390 ], - "Z": [ 362 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19477": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ 391 ], - "Z": [ 390 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19478": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4027 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 244 ], - "C": [ 392 ], - "D": [ 139 ], - "Z": [ 371 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19479.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 47883 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 264 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 393 ], - "Z": [ 394 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19479.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 264 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 393 ], - "Z": [ 395 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19479.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 395 ], - "BLUT": [ 394 ], - "C0": [ 396 ], - "Z": [ 397 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 398 ], - "B": [ 399 ], - "C": [ 400 ], - "D": [ 401 ], - "Z": [ 402 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 398 ], - "B": [ 399 ], - "C": [ 400 ], - "D": [ 401 ], - "Z": [ 403 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 398 ], - "B": [ 399 ], - "C": [ 400 ], - "D": [ 401 ], - "Z": [ 404 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 398 ], - "B": [ 399 ], - "C": [ 400 ], - "D": [ 401 ], - "Z": [ 405 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 398 ], - "B": [ 399 ], - "C": [ 400 ], - "D": [ 401 ], - "Z": [ 406 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 398 ], - "B": [ 399 ], - "C": [ 400 ], - "D": [ 401 ], - "Z": [ 407 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 398 ], - "B": [ 399 ], - "C": [ 400 ], - "D": [ 401 ], - "Z": [ 408 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 398 ], - "B": [ 399 ], - "C": [ 400 ], - "D": [ 401 ], - "Z": [ 409 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 403 ], - "BLUT": [ 402 ], - "C0": [ 410 ], - "Z": [ 411 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 405 ], - "BLUT": [ 404 ], - "C0": [ 410 ], - "Z": [ 412 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 407 ], - "BLUT": [ 406 ], - "C0": [ 410 ], - "Z": [ 413 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 409 ], - "BLUT": [ 408 ], - "C0": [ 410 ], - "Z": [ 414 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 411 ], - "D1": [ 412 ], - "SD": [ 102 ], - "Z": [ 415 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 413 ], - "D1": [ 414 ], - "SD": [ 102 ], - "Z": [ 416 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 415 ], - "D1": [ 416 ], - "SD": [ 112 ], - "Z": [ 396 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19481": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 312 ], - "B": [ 417 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 398 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19482": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 418 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 399 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19483.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 419 ], - "C": [ 420 ], - "D": [ 316 ], - "Z": [ 421 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19483.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 419 ], - "C": [ 420 ], - "D": [ 316 ], - "Z": [ 422 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19483.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 422 ], - "BLUT": [ 421 ], - "C0": [ 423 ], - "Z": [ 400 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19484": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 164 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 424 ], - "Z": [ 423 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19485.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 425 ], - "B": [ 321 ], - "C": [ 426 ], - "D": [ 169 ], - "Z": [ 427 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19485.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 425 ], - "B": [ 321 ], - "C": [ 426 ], - "D": [ 169 ], - "Z": [ 428 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19485.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 428 ], - "BLUT": [ 427 ], - "C0": [ 429 ], - "Z": [ 401 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19486": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ 430 ], - "Z": [ 429 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19487": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4027 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 265 ], - "C": [ 431 ], - "D": [ 139 ], - "Z": [ 410 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19488.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 432 ], - "B": [ 433 ], - "C": [ 102 ], - "D": [ 434 ], - "Z": [ 435 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19488.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61687 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 432 ], - "B": [ 433 ], - "C": [ 102 ], - "D": [ 434 ], - "Z": [ 436 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19488.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 436 ], - "BLUT": [ 435 ], - "C0": [ 437 ], - "Z": [ 438 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19489": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 439 ], - "B": [ 316 ], - "C": [ 440 ], - "D": [ "0" ], - "Z": [ 433 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19490": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 441 ], - "C": [ 162 ], - "D": [ 442 ], - "Z": [ 440 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19491.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 443 ], - "B": [ 341 ], - "C": [ 444 ], - "D": [ 112 ], - "Z": [ 445 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19491.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 28672 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 443 ], - "B": [ 341 ], - "C": [ 444 ], - "D": [ 112 ], - "Z": [ 446 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19491.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 446 ], - "BLUT": [ 445 ], - "C0": [ 447 ], - "Z": [ 432 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19492": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 448 ], - "B": [ 321 ], - "C": [ 312 ], - "D": [ 449 ], - "Z": [ 444 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19493": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 450 ], - "C": [ 169 ], - "D": [ 451 ], - "Z": [ 447 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19494": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 45243 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 256 ], - "B": [ 286 ], - "C": [ 452 ], - "D": [ 287 ], - "Z": [ 437 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19495.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 221 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 257 ], - "B": [ 4 ], - "C": [ 453 ], - "D": [ 112 ], - "Z": [ 454 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19495.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 15 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 257 ], - "B": [ 4 ], - "C": [ 453 ], - "D": [ 112 ], - "Z": [ 455 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19495.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 455 ], - "BLUT": [ 454 ], - "C0": [ 139 ], - "Z": [ 434 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19496.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 47883 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 248 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 456 ], - "Z": [ 457 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19496.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 248 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 456 ], - "Z": [ 458 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19496.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 458 ], - "BLUT": [ 457 ], - "C0": [ 459 ], - "Z": [ 460 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 461 ], - "B": [ 462 ], - "C": [ 463 ], - "D": [ 464 ], - "Z": [ 465 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 461 ], - "B": [ 462 ], - "C": [ 463 ], - "D": [ 464 ], - "Z": [ 466 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 461 ], - "B": [ 462 ], - "C": [ 463 ], - "D": [ 464 ], - "Z": [ 467 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 461 ], - "B": [ 462 ], - "C": [ 463 ], - "D": [ 464 ], - "Z": [ 468 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 461 ], - "B": [ 462 ], - "C": [ 463 ], - "D": [ 464 ], - "Z": [ 469 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 461 ], - "B": [ 462 ], - "C": [ 463 ], - "D": [ 464 ], - "Z": [ 470 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 461 ], - "B": [ 462 ], - "C": [ 463 ], - "D": [ 464 ], - "Z": [ 471 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 461 ], - "B": [ 462 ], - "C": [ 463 ], - "D": [ 464 ], - "Z": [ 472 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 466 ], - "BLUT": [ 465 ], - "C0": [ 473 ], - "Z": [ 474 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 468 ], - "BLUT": [ 467 ], - "C0": [ 473 ], - "Z": [ 475 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 470 ], - "BLUT": [ 469 ], - "C0": [ 473 ], - "Z": [ 476 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 472 ], - "BLUT": [ 471 ], - "C0": [ 473 ], - "Z": [ 477 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 474 ], - "D1": [ 475 ], - "SD": [ 102 ], - "Z": [ 478 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 476 ], - "D1": [ 477 ], - "SD": [ 102 ], - "Z": [ 479 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 478 ], - "D1": [ 479 ], - "SD": [ 112 ], - "Z": [ 459 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19498": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 312 ], - "B": [ 480 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 461 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19499": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 481 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 462 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19500.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 482 ], - "C": [ 483 ], - "D": [ 316 ], - "Z": [ 484 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19500.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 482 ], - "C": [ 483 ], - "D": [ 316 ], - "Z": [ 485 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19500.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 485 ], - "BLUT": [ 484 ], - "C0": [ 486 ], - "Z": [ 463 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19501": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 164 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 487 ], - "Z": [ 486 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19502.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 488 ], - "B": [ 321 ], - "C": [ 489 ], - "D": [ 169 ], - "Z": [ 490 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19502.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 488 ], - "B": [ 321 ], - "C": [ 489 ], - "D": [ 169 ], - "Z": [ 491 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19502.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 491 ], - "BLUT": [ 490 ], - "C0": [ 492 ], - "Z": [ 464 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19503": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ 493 ], - "Z": [ 492 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19504": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4027 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 249 ], - "C": [ 494 ], - "D": [ 139 ], - "Z": [ 473 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19505.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 47883 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 238 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 495 ], - "Z": [ 496 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19505.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 238 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 495 ], - "Z": [ 497 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19505.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 497 ], - "BLUT": [ 496 ], - "C0": [ 498 ], - "Z": [ 499 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 500 ], - "B": [ 501 ], - "C": [ 502 ], - "D": [ 503 ], - "Z": [ 504 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 500 ], - "B": [ 501 ], - "C": [ 502 ], - "D": [ 503 ], - "Z": [ 505 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 500 ], - "B": [ 501 ], - "C": [ 502 ], - "D": [ 503 ], + "B": [ 68 ], + "C": [ 133 ], + "D": [ 61 ], "Z": [ 506 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20930.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 500 ], - "B": [ 501 ], - "C": [ 502 ], - "D": [ 503 ], - "Z": [ 507 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 500 ], - "B": [ 501 ], - "C": [ 502 ], - "D": [ 503 ], - "Z": [ 508 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 500 ], - "B": [ 501 ], - "C": [ 502 ], - "D": [ 503 ], - "Z": [ 509 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 500 ], - "B": [ 501 ], - "C": [ 502 ], - "D": [ 503 ], - "Z": [ 510 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 500 ], - "B": [ 501 ], - "C": [ 502 ], - "D": [ 503 ], - "Z": [ 511 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 505 ], - "BLUT": [ 504 ], - "C0": [ 512 ], - "Z": [ 513 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 507 ], - "BLUT": [ 506 ], - "C0": [ 512 ], - "Z": [ 514 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 509 ], - "BLUT": [ 508 ], - "C0": [ 512 ], - "Z": [ 515 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 511 ], - "BLUT": [ 510 ], - "C0": [ 512 ], - "Z": [ 516 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 513 ], - "D1": [ 514 ], - "SD": [ 102 ], - "Z": [ 517 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 515 ], - "D1": [ 516 ], - "SD": [ 102 ], - "Z": [ 518 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 517 ], - "D1": [ 518 ], - "SD": [ 112 ], - "Z": [ 498 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19507": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 312 ], - "B": [ 519 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 500 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19508": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 520 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 501 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19509.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 + "INIT": 47883 }, "attributes": { "module_not_derived": 1, @@ -22761,359 +24387,18 @@ "Z": "output" }, "connections": { - "A": [ 314 ], - "B": [ 521 ], - "C": [ 522 ], - "D": [ 316 ], - "Z": [ 523 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19509.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 521 ], - "C": [ 522 ], - "D": [ 316 ], - "Z": [ 524 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19509.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 524 ], - "BLUT": [ 523 ], - "C0": [ 525 ], - "Z": [ 502 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19510": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 164 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 526 ], - "Z": [ 525 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19511.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 527 ], - "B": [ 321 ], - "C": [ 528 ], - "D": [ 169 ], - "Z": [ 529 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19511.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 527 ], - "B": [ 321 ], - "C": [ 528 ], - "D": [ 169 ], - "Z": [ 530 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19511.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 530 ], - "BLUT": [ 529 ], - "C0": [ 531 ], - "Z": [ 503 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19512": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ 532 ], - "Z": [ 531 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19513": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4027 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 239 ], - "C": [ 533 ], - "D": [ 139 ], - "Z": [ 512 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19514.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 534 ], - "B": [ 535 ], - "C": [ 102 ], - "D": [ 536 ], - "Z": [ 537 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19514.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61687 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 534 ], - "B": [ 535 ], - "C": [ 102 ], - "D": [ 536 ], - "Z": [ 538 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19514.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 538 ], - "BLUT": [ 537 ], - "C0": [ 539 ], - "Z": [ 540 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19515": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 541 ], - "B": [ 316 ], - "C": [ 542 ], - "D": [ "0" ], - "Z": [ 535 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19516": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 543 ], - "C": [ 162 ], - "D": [ 544 ], - "Z": [ 542 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19517.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 545 ], - "B": [ 341 ], - "C": [ 546 ], - "D": [ 112 ], + "A": [ 546 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 325 ], "Z": [ 547 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19517.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20930.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 28672 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -23127,14 +24412,14 @@ "Z": "output" }, "connections": { - "A": [ 545 ], - "B": [ 341 ], - "C": [ 546 ], - "D": [ 112 ], + "A": [ 546 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 325 ], "Z": [ 548 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19517.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20930.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -23153,18 +24438,18 @@ "ALUT": [ 548 ], "BLUT": [ 547 ], "C0": [ 549 ], - "Z": [ 534 ] + "Z": [ 550 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19518": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1911 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" }, "port_directions": { "A": "input", @@ -23174,47 +24459,22 @@ "Z": "output" }, "connections": { - "A": [ 550 ], - "B": [ 321 ], - "C": [ 312 ], - "D": [ 551 ], - "Z": [ 546 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19519": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], + "A": [ 551 ], "B": [ 552 ], - "C": [ 169 ], - "D": [ 553 ], - "Z": [ 549 ] + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 555 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19520": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 45243 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" }, "port_directions": { "A": "input", @@ -23224,47 +24484,22 @@ "Z": "output" }, "connections": { - "A": [ 233 ], - "B": [ 286 ], - "C": [ 554 ], - "D": [ 287 ], - "Z": [ 539 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19521.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 221 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 234 ], - "B": [ 4 ], - "C": [ 555 ], - "D": [ 112 ], + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], "Z": [ 556 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19521.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 15 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" }, "port_directions": { "A": "input", @@ -23274,21 +24509,146 @@ "Z": "output" }, "connections": { - "A": [ 234 ], - "B": [ 4 ], - "C": [ 555 ], - "D": [ 112 ], + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], "Z": [ 557 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19521.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 558 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 559 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 560 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 561 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 562 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" }, "port_directions": { "ALUT": "input", @@ -23297,70 +24657,42 @@ "Z": "output" }, "connections": { - "ALUT": [ 557 ], - "BLUT": [ 556 ], - "C0": [ 139 ], - "Z": [ 536 ] + "ALUT": [ 556 ], + "BLUT": [ 555 ], + "C0": [ 563 ], + "Z": [ 564 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19522.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 47883 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 228 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 558 ], - "Z": [ 559 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19522.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 228 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 558 ], - "Z": [ 560 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19522.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 558 ], + "BLUT": [ 557 ], + "C0": [ 563 ], + "Z": [ 565 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" }, "port_directions": { "ALUT": "input", @@ -23371,277 +24703,11 @@ "connections": { "ALUT": [ 560 ], "BLUT": [ 559 ], - "C0": [ 561 ], - "Z": [ 562 ] + "C0": [ 563 ], + "Z": [ 566 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 563 ], - "B": [ 564 ], - "C": [ 565 ], - "D": [ 566 ], - "Z": [ 567 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 563 ], - "B": [ 564 ], - "C": [ 565 ], - "D": [ 566 ], - "Z": [ 568 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 563 ], - "B": [ 564 ], - "C": [ 565 ], - "D": [ 566 ], - "Z": [ 569 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 563 ], - "B": [ 564 ], - "C": [ 565 ], - "D": [ 566 ], - "Z": [ 570 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 563 ], - "B": [ 564 ], - "C": [ 565 ], - "D": [ 566 ], - "Z": [ 571 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 563 ], - "B": [ 564 ], - "C": [ 565 ], - "D": [ 566 ], - "Z": [ 572 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 563 ], - "B": [ 564 ], - "C": [ 565 ], - "D": [ 566 ], - "Z": [ 573 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 563 ], - "B": [ 564 ], - "C": [ 565 ], - "D": [ 566 ], - "Z": [ 574 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 568 ], - "BLUT": [ 567 ], - "C0": [ 575 ], - "Z": [ 576 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 570 ], - "BLUT": [ 569 ], - "C0": [ 575 ], - "Z": [ 577 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 572 ], - "BLUT": [ 571 ], - "C0": [ 575 ], - "Z": [ 578 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.mux53": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.mux53": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -23657,13 +24723,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 574 ], - "BLUT": [ 573 ], - "C0": [ 575 ], - "Z": [ 579 ] + "ALUT": [ 562 ], + "BLUT": [ 561 ], + "C0": [ 563 ], + "Z": [ 567 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.mux60": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.mux60": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -23679,13 +24745,13 @@ "Z": "output" }, "connections": { - "D0": [ 576 ], - "D1": [ 577 ], - "SD": [ 102 ], - "Z": [ 580 ] + "D0": [ 564 ], + "D1": [ 565 ], + "SD": [ 528 ], + "Z": [ 568 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.mux61": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.mux61": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -23701,13 +24767,13 @@ "Z": "output" }, "connections": { - "D0": [ 578 ], - "D1": [ 579 ], - "SD": [ 102 ], - "Z": [ 581 ] + "D0": [ 566 ], + "D1": [ 567 ], + "SD": [ 528 ], + "Z": [ 569 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.mux7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.mux7": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -23723,13 +24789,13 @@ "Z": "output" }, "connections": { - "D0": [ 580 ], - "D1": [ 581 ], - "SD": [ 112 ], - "Z": [ 561 ] + "D0": [ 568 ], + "D1": [ 569 ], + "SD": [ 78 ], + "Z": [ 549 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19524": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20932": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -23747,14 +24813,14 @@ "Z": "output" }, "connections": { - "A": [ 312 ], - "B": [ 582 ], + "A": [ 242 ], + "B": [ 570 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 563 ] + "Z": [ 551 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19525": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20933": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -23772,22 +24838,22 @@ "Z": "output" }, "connections": { - "A": [ 167 ], - "B": [ 583 ], + "A": [ 418 ], + "B": [ 571 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 564 ] + "Z": [ 552 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19526.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20934": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1911 + "INIT": 7 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { "A": "input", @@ -23797,61 +24863,14 @@ "Z": "output" }, "connections": { - "A": [ 314 ], - "B": [ 584 ], - "C": [ 585 ], - "D": [ 316 ], - "Z": [ 586 ] + "A": [ 572 ], + "B": [ 237 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 553 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19526.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 584 ], - "C": [ 585 ], - "D": [ 316 ], - "Z": [ 587 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19526.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 587 ], - "BLUT": [ 586 ], - "C0": [ 588 ], - "Z": [ 565 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19527": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20935": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -23869,86 +24888,14 @@ "Z": "output" }, "connections": { - "A": [ 164 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 589 ], - "Z": [ 588 ] + "A": [ 240 ], + "B": [ 241 ], + "C": [ 575 ], + "D": [ 239 ], + "Z": [ 574 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19528.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 590 ], - "B": [ 321 ], - "C": [ 591 ], - "D": [ 169 ], - "Z": [ 592 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19528.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 590 ], - "B": [ 321 ], - "C": [ 591 ], - "D": [ 169 ], - "Z": [ 593 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19528.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 593 ], - "BLUT": [ 592 ], - "C0": [ 594 ], - "Z": [ 566 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19529": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20936": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -23966,14 +24913,111 @@ "Z": "output" }, "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ 595 ], - "Z": [ 594 ] + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 576 ], + "Z": [ 573 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19530": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20937.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 378 ], + "C": [ 578 ], + "D": [ 244 ], + "Z": [ 579 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20937.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 378 ], + "C": [ 578 ], + "D": [ 244 ], + "Z": [ 580 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20937.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 580 ], + "BLUT": [ 579 ], + "C0": [ 581 ], + "Z": [ 554 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20938": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 582 ], + "Z": [ 581 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20939": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -23992,13 +25036,13 @@ }, "connections": { "A": [ 4 ], - "B": [ 229 ], - "C": [ 596 ], - "D": [ 139 ], - "Z": [ 575 ] + "B": [ 326 ], + "C": [ 583 ], + "D": [ 121 ], + "Z": [ 563 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19531.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20940.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24016,14 +25060,14 @@ "Z": "output" }, "connections": { - "A": [ 224 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 597 ], - "Z": [ 598 ] + "A": [ 584 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 316 ], + "Z": [ 585 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19531.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20940.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24041,14 +25085,14 @@ "Z": "output" }, "connections": { - "A": [ 224 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 597 ], - "Z": [ 599 ] + "A": [ 584 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 316 ], + "Z": [ 586 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19531.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20940.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -24064,13 +25108,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 599 ], - "BLUT": [ 598 ], - "C0": [ 600 ], - "Z": [ 601 ] + "ALUT": [ 586 ], + "BLUT": [ 585 ], + "C0": [ 587 ], + "Z": [ 588 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24088,14 +25132,14 @@ "Z": "output" }, "connections": { - "A": [ 602 ], - "B": [ 603 ], - "C": [ 604 ], - "D": [ 605 ], - "Z": [ 606 ] + "A": [ 589 ], + "B": [ 590 ], + "C": [ 591 ], + "D": [ 592 ], + "Z": [ 593 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24113,14 +25157,14 @@ "Z": "output" }, "connections": { - "A": [ 602 ], - "B": [ 603 ], - "C": [ 604 ], - "D": [ 605 ], - "Z": [ 607 ] + "A": [ 589 ], + "B": [ 590 ], + "C": [ 591 ], + "D": [ 592 ], + "Z": [ 594 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.lut2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24138,14 +25182,14 @@ "Z": "output" }, "connections": { - "A": [ 602 ], - "B": [ 603 ], - "C": [ 604 ], - "D": [ 605 ], - "Z": [ 608 ] + "A": [ 589 ], + "B": [ 590 ], + "C": [ 591 ], + "D": [ 592 ], + "Z": [ 595 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24163,14 +25207,14 @@ "Z": "output" }, "connections": { - "A": [ 602 ], - "B": [ 603 ], - "C": [ 604 ], - "D": [ 605 ], - "Z": [ 609 ] + "A": [ 589 ], + "B": [ 590 ], + "C": [ 591 ], + "D": [ 592 ], + "Z": [ 596 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.lut4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.lut4": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24188,14 +25232,14 @@ "Z": "output" }, "connections": { - "A": [ 602 ], - "B": [ 603 ], - "C": [ 604 ], - "D": [ 605 ], - "Z": [ 610 ] + "A": [ 589 ], + "B": [ 590 ], + "C": [ 591 ], + "D": [ 592 ], + "Z": [ 597 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.lut5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.lut5": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24213,14 +25257,14 @@ "Z": "output" }, "connections": { - "A": [ 602 ], - "B": [ 603 ], - "C": [ 604 ], - "D": [ 605 ], - "Z": [ 611 ] + "A": [ 589 ], + "B": [ 590 ], + "C": [ 591 ], + "D": [ 592 ], + "Z": [ 598 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.lut6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.lut6": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24238,14 +25282,14 @@ "Z": "output" }, "connections": { - "A": [ 602 ], - "B": [ 603 ], - "C": [ 604 ], - "D": [ 605 ], - "Z": [ 612 ] + "A": [ 589 ], + "B": [ 590 ], + "C": [ 591 ], + "D": [ 592 ], + "Z": [ 599 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.lut7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.lut7": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24263,14 +25307,14 @@ "Z": "output" }, "connections": { - "A": [ 602 ], - "B": [ 603 ], - "C": [ 604 ], - "D": [ 605 ], - "Z": [ 613 ] + "A": [ 589 ], + "B": [ 590 ], + "C": [ 591 ], + "D": [ 592 ], + "Z": [ 600 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -24286,13 +25330,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 607 ], - "BLUT": [ 606 ], - "C0": [ 614 ], - "Z": [ 615 ] + "ALUT": [ 594 ], + "BLUT": [ 593 ], + "C0": [ 601 ], + "Z": [ 602 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.mux51": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -24308,13 +25352,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 609 ], - "BLUT": [ 608 ], - "C0": [ 614 ], - "Z": [ 616 ] + "ALUT": [ 596 ], + "BLUT": [ 595 ], + "C0": [ 601 ], + "Z": [ 603 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.mux52": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.mux52": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -24330,13 +25374,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 611 ], - "BLUT": [ 610 ], - "C0": [ 614 ], - "Z": [ 617 ] + "ALUT": [ 598 ], + "BLUT": [ 597 ], + "C0": [ 601 ], + "Z": [ 604 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.mux53": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.mux53": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -24352,13 +25396,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 613 ], - "BLUT": [ 612 ], - "C0": [ 614 ], - "Z": [ 618 ] + "ALUT": [ 600 ], + "BLUT": [ 599 ], + "C0": [ 601 ], + "Z": [ 605 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.mux60": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.mux60": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -24374,13 +25418,13 @@ "Z": "output" }, "connections": { - "D0": [ 615 ], - "D1": [ 616 ], - "SD": [ 102 ], - "Z": [ 619 ] + "D0": [ 602 ], + "D1": [ 603 ], + "SD": [ 528 ], + "Z": [ 606 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.mux61": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.mux61": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -24396,13 +25440,13 @@ "Z": "output" }, "connections": { - "D0": [ 617 ], - "D1": [ 618 ], - "SD": [ 102 ], - "Z": [ 620 ] + "D0": [ 604 ], + "D1": [ 605 ], + "SD": [ 528 ], + "Z": [ 607 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.mux7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.mux7": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -24418,13 +25462,13 @@ "Z": "output" }, "connections": { - "D0": [ 619 ], - "D1": [ 620 ], - "SD": [ 112 ], - "Z": [ 600 ] + "D0": [ 606 ], + "D1": [ 607 ], + "SD": [ 78 ], + "Z": [ 587 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19533": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20942": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24442,14 +25486,14 @@ "Z": "output" }, "connections": { - "A": [ 312 ], - "B": [ 621 ], + "A": [ 242 ], + "B": [ 608 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 602 ] + "Z": [ 589 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19534": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20943": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24467,22 +25511,22 @@ "Z": "output" }, "connections": { - "A": [ 167 ], - "B": [ 622 ], + "A": [ 378 ], + "B": [ 609 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 603 ] + "Z": [ 590 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19535.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20944": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1911 + "INIT": 7 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { "A": "input", @@ -24492,61 +25536,14 @@ "Z": "output" }, "connections": { - "A": [ 314 ], - "B": [ 623 ], - "C": [ 624 ], - "D": [ 316 ], - "Z": [ 625 ] + "A": [ 610 ], + "B": [ 418 ], + "C": [ 611 ], + "D": [ 612 ], + "Z": [ 591 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19535.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 623 ], - "C": [ 624 ], - "D": [ 316 ], - "Z": [ 626 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19535.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 626 ], - "BLUT": [ 625 ], - "C0": [ 627 ], - "Z": [ 604 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19536": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20945": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24564,14 +25561,39 @@ "Z": "output" }, "connections": { - "A": [ 164 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 628 ], - "Z": [ 627 ] + "A": [ 240 ], + "B": [ 241 ], + "C": [ 613 ], + "D": [ 239 ], + "Z": [ 612 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19537.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20946": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 614 ], + "Z": [ 611 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20947.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24589,14 +25611,14 @@ "Z": "output" }, "connections": { - "A": [ 629 ], - "B": [ 321 ], - "C": [ 630 ], - "D": [ 169 ], - "Z": [ 631 ] + "A": [ 244 ], + "B": [ 615 ], + "C": [ 616 ], + "D": [ 237 ], + "Z": [ 617 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19537.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20947.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24614,14 +25636,14 @@ "Z": "output" }, "connections": { - "A": [ 629 ], - "B": [ 321 ], - "C": [ 630 ], - "D": [ 169 ], - "Z": [ 632 ] + "A": [ 244 ], + "B": [ 615 ], + "C": [ 616 ], + "D": [ 237 ], + "Z": [ 618 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19537.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20947.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -24636,14 +25658,565 @@ "C0": "input", "Z": "output" }, + "connections": { + "ALUT": [ 618 ], + "BLUT": [ 617 ], + "C0": [ 619 ], + "Z": [ 592 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20948": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 620 ], + "Z": [ 619 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20949": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 317 ], + "C": [ 621 ], + "D": [ 121 ], + "Z": [ 601 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20950.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 622 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 337 ], + "Z": [ 623 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20950.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 622 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 337 ], + "Z": [ 624 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20950.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 624 ], + "BLUT": [ 623 ], + "C0": [ 625 ], + "Z": [ 626 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 627 ], + "B": [ 628 ], + "C": [ 629 ], + "D": [ 630 ], + "Z": [ 631 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 627 ], + "B": [ 628 ], + "C": [ 629 ], + "D": [ 630 ], + "Z": [ 632 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 627 ], + "B": [ 628 ], + "C": [ 629 ], + "D": [ 630 ], + "Z": [ 633 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 627 ], + "B": [ 628 ], + "C": [ 629 ], + "D": [ 630 ], + "Z": [ 634 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 627 ], + "B": [ 628 ], + "C": [ 629 ], + "D": [ 630 ], + "Z": [ 635 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 627 ], + "B": [ 628 ], + "C": [ 629 ], + "D": [ 630 ], + "Z": [ 636 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 627 ], + "B": [ 628 ], + "C": [ 629 ], + "D": [ 630 ], + "Z": [ 637 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 627 ], + "B": [ 628 ], + "C": [ 629 ], + "D": [ 630 ], + "Z": [ 638 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, "connections": { "ALUT": [ 632 ], "BLUT": [ 631 ], - "C0": [ 633 ], - "Z": [ 605 ] + "C0": [ 639 ], + "Z": [ 640 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19538": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 634 ], + "BLUT": [ 633 ], + "C0": [ 639 ], + "Z": [ 641 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 636 ], + "BLUT": [ 635 ], + "C0": [ 639 ], + "Z": [ 642 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 638 ], + "BLUT": [ 637 ], + "C0": [ 639 ], + "Z": [ 643 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 640 ], + "D1": [ 641 ], + "SD": [ 528 ], + "Z": [ 644 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 642 ], + "D1": [ 643 ], + "SD": [ 528 ], + "Z": [ 645 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 644 ], + "D1": [ 645 ], + "SD": [ 78 ], + "Z": [ 625 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20952": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 646 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 627 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20953": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 378 ], + "B": [ 647 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 628 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20954": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 648 ], + "B": [ 418 ], + "C": [ 649 ], + "D": [ 650 ], + "Z": [ 629 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20955": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24661,14 +26234,136 @@ "Z": "output" }, "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ 634 ], - "Z": [ 633 ] + "A": [ 240 ], + "B": [ 241 ], + "C": [ 651 ], + "D": [ 239 ], + "Z": [ 650 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19539": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20956": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 652 ], + "Z": [ 649 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20957.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 653 ], + "C": [ 654 ], + "D": [ 237 ], + "Z": [ 655 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20957.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 653 ], + "C": [ 654 ], + "D": [ 237 ], + "Z": [ 656 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20957.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 656 ], + "BLUT": [ 655 ], + "C0": [ 657 ], + "Z": [ 630 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20958": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 658 ], + "Z": [ 657 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20959": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -24687,1036 +26382,13 @@ }, "connections": { "A": [ 4 ], - "B": [ 225 ], - "C": [ 635 ], - "D": [ 139 ], - "Z": [ 614 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19540.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 636 ], - "B": [ 637 ], - "C": [ 102 ], - "D": [ 638 ], + "B": [ 338 ], + "C": [ 659 ], + "D": [ 121 ], "Z": [ 639 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19540.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61687 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 636 ], - "B": [ 637 ], - "C": [ 102 ], - "D": [ 638 ], - "Z": [ 640 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19540.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 640 ], - "BLUT": [ 639 ], - "C0": [ 641 ], - "Z": [ 642 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19541": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 643 ], - "B": [ 316 ], - "C": [ 644 ], - "D": [ "0" ], - "Z": [ 637 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19542": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 645 ], - "C": [ 162 ], - "D": [ 646 ], - "Z": [ 644 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19543.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 647 ], - "B": [ 341 ], - "C": [ 648 ], - "D": [ 112 ], - "Z": [ 649 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19543.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 28672 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 647 ], - "B": [ 341 ], - "C": [ 648 ], - "D": [ 112 ], - "Z": [ 650 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19543.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 650 ], - "BLUT": [ 649 ], - "C0": [ 651 ], - "Z": [ 636 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19544": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 652 ], - "B": [ 321 ], - "C": [ 312 ], - "D": [ 653 ], - "Z": [ 648 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19545": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 654 ], - "C": [ 169 ], - "D": [ 655 ], - "Z": [ 651 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19546": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 45243 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 220 ], - "B": [ 286 ], - "C": [ 656 ], - "D": [ 287 ], - "Z": [ 641 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19547.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 221 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 221 ], - "B": [ 4 ], - "C": [ 657 ], - "D": [ 112 ], - "Z": [ 658 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19547.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 15 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 221 ], - "B": [ 4 ], - "C": [ 657 ], - "D": [ 112 ], - "Z": [ 659 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19547.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 659 ], - "BLUT": [ 658 ], - "C0": [ 139 ], - "Z": [ 638 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19548.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 660 ], - "B": [ 661 ], - "C": [ 102 ], - "D": [ 662 ], - "Z": [ 663 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19548.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61687 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 660 ], - "B": [ 661 ], - "C": [ 102 ], - "D": [ 662 ], - "Z": [ 664 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19548.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 664 ], - "BLUT": [ 663 ], - "C0": [ 665 ], - "Z": [ 666 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19549": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 667 ], - "B": [ 316 ], - "C": [ 668 ], - "D": [ "0" ], - "Z": [ 661 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19550": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 669 ], - "C": [ 162 ], - "D": [ 670 ], - "Z": [ 668 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19551.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 671 ], - "B": [ 341 ], - "C": [ 672 ], - "D": [ 112 ], - "Z": [ 673 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19551.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 28672 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 671 ], - "B": [ 341 ], - "C": [ 672 ], - "D": [ 112 ], - "Z": [ 674 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19551.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 674 ], - "BLUT": [ 673 ], - "C0": [ 675 ], - "Z": [ 660 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19552": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 676 ], - "B": [ 321 ], - "C": [ 312 ], - "D": [ 677 ], - "Z": [ 672 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19553": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 678 ], - "C": [ 169 ], - "D": [ 679 ], - "Z": [ 675 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19554": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 45243 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 215 ], - "B": [ 286 ], - "C": [ 680 ], - "D": [ 287 ], - "Z": [ 665 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19555.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 221 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 216 ], - "B": [ 4 ], - "C": [ 681 ], - "D": [ 112 ], - "Z": [ 682 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19555.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 15 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 216 ], - "B": [ 4 ], - "C": [ 681 ], - "D": [ 112 ], - "Z": [ 683 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19555.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 683 ], - "BLUT": [ 682 ], - "C0": [ 139 ], - "Z": [ 662 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19556.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 684 ], - "B": [ 685 ], - "C": [ 102 ], - "D": [ 686 ], - "Z": [ 687 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19556.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61687 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 684 ], - "B": [ 685 ], - "C": [ 102 ], - "D": [ 686 ], - "Z": [ 688 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19556.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 688 ], - "BLUT": [ 687 ], - "C0": [ 689 ], - "Z": [ 690 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19557": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 691 ], - "B": [ 316 ], - "C": [ 692 ], - "D": [ "0" ], - "Z": [ 685 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19558": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 693 ], - "C": [ 162 ], - "D": [ 694 ], - "Z": [ 692 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19559.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 695 ], - "B": [ 341 ], - "C": [ 696 ], - "D": [ 112 ], - "Z": [ 697 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19559.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 28672 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 695 ], - "B": [ 341 ], - "C": [ 696 ], - "D": [ 112 ], - "Z": [ 698 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19559.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 698 ], - "BLUT": [ 697 ], - "C0": [ 699 ], - "Z": [ 684 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19560": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 700 ], - "B": [ 321 ], - "C": [ 312 ], - "D": [ 701 ], - "Z": [ 696 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19561": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 702 ], - "C": [ 169 ], - "D": [ 703 ], - "Z": [ 699 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19562": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 45243 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 210 ], - "B": [ 286 ], - "C": [ 704 ], - "D": [ 287 ], - "Z": [ 689 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19563.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 221 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 211 ], - "B": [ 4 ], - "C": [ 705 ], - "D": [ 112 ], - "Z": [ 706 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19563.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 15 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 211 ], - "B": [ 4 ], - "C": [ 705 ], - "D": [ 112 ], - "Z": [ 707 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19563.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 707 ], - "BLUT": [ 706 ], - "C0": [ 139 ], - "Z": [ 686 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19564.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20960.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25734,14 +26406,14 @@ "Z": "output" }, "connections": { - "A": [ 206 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 708 ], - "Z": [ 709 ] + "A": [ 660 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 329 ], + "Z": [ 661 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19564.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20960.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25759,14 +26431,14 @@ "Z": "output" }, "connections": { - "A": [ 206 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 708 ], - "Z": [ 710 ] + "A": [ 660 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 329 ], + "Z": [ 662 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19564.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20960.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -25782,13 +26454,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 710 ], - "BLUT": [ 709 ], - "C0": [ 711 ], - "Z": [ 712 ] + "ALUT": [ 662 ], + "BLUT": [ 661 ], + "C0": [ 663 ], + "Z": [ 664 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25806,14 +26478,14 @@ "Z": "output" }, "connections": { - "A": [ 713 ], - "B": [ 714 ], - "C": [ 715 ], - "D": [ 716 ], - "Z": [ 717 ] + "A": [ 665 ], + "B": [ 666 ], + "C": [ 667 ], + "D": [ 668 ], + "Z": [ 669 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25831,14 +26503,14 @@ "Z": "output" }, "connections": { - "A": [ 713 ], - "B": [ 714 ], - "C": [ 715 ], - "D": [ 716 ], - "Z": [ 718 ] + "A": [ 665 ], + "B": [ 666 ], + "C": [ 667 ], + "D": [ 668 ], + "Z": [ 670 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.lut2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25856,14 +26528,14 @@ "Z": "output" }, "connections": { - "A": [ 713 ], - "B": [ 714 ], - "C": [ 715 ], - "D": [ 716 ], - "Z": [ 719 ] + "A": [ 665 ], + "B": [ 666 ], + "C": [ 667 ], + "D": [ 668 ], + "Z": [ 671 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25881,14 +26553,14 @@ "Z": "output" }, "connections": { - "A": [ 713 ], - "B": [ 714 ], - "C": [ 715 ], - "D": [ 716 ], - "Z": [ 720 ] + "A": [ 665 ], + "B": [ 666 ], + "C": [ 667 ], + "D": [ 668 ], + "Z": [ 672 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.lut4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.lut4": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25906,14 +26578,14 @@ "Z": "output" }, "connections": { - "A": [ 713 ], - "B": [ 714 ], - "C": [ 715 ], - "D": [ 716 ], - "Z": [ 721 ] + "A": [ 665 ], + "B": [ 666 ], + "C": [ 667 ], + "D": [ 668 ], + "Z": [ 673 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.lut5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.lut5": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25931,14 +26603,14 @@ "Z": "output" }, "connections": { - "A": [ 713 ], - "B": [ 714 ], - "C": [ 715 ], - "D": [ 716 ], - "Z": [ 722 ] + "A": [ 665 ], + "B": [ 666 ], + "C": [ 667 ], + "D": [ 668 ], + "Z": [ 674 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.lut6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.lut6": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25956,14 +26628,14 @@ "Z": "output" }, "connections": { - "A": [ 713 ], - "B": [ 714 ], - "C": [ 715 ], - "D": [ 716 ], - "Z": [ 723 ] + "A": [ 665 ], + "B": [ 666 ], + "C": [ 667 ], + "D": [ 668 ], + "Z": [ 675 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.lut7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.lut7": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -25981,14 +26653,14 @@ "Z": "output" }, "connections": { - "A": [ 713 ], - "B": [ 714 ], - "C": [ 715 ], - "D": [ 716 ], - "Z": [ 724 ] + "A": [ 665 ], + "B": [ 666 ], + "C": [ 667 ], + "D": [ 668 ], + "Z": [ 676 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -26004,13 +26676,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 718 ], - "BLUT": [ 717 ], - "C0": [ 725 ], - "Z": [ 726 ] + "ALUT": [ 670 ], + "BLUT": [ 669 ], + "C0": [ 677 ], + "Z": [ 678 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.mux51": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -26026,13 +26698,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 720 ], - "BLUT": [ 719 ], - "C0": [ 725 ], - "Z": [ 727 ] + "ALUT": [ 672 ], + "BLUT": [ 671 ], + "C0": [ 677 ], + "Z": [ 679 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.mux52": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.mux52": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -26048,13 +26720,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 722 ], - "BLUT": [ 721 ], - "C0": [ 725 ], - "Z": [ 728 ] + "ALUT": [ 674 ], + "BLUT": [ 673 ], + "C0": [ 677 ], + "Z": [ 680 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.mux53": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.mux53": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -26070,13 +26742,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 724 ], - "BLUT": [ 723 ], - "C0": [ 725 ], - "Z": [ 729 ] + "ALUT": [ 676 ], + "BLUT": [ 675 ], + "C0": [ 677 ], + "Z": [ 681 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.mux60": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.mux60": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -26092,13 +26764,13 @@ "Z": "output" }, "connections": { - "D0": [ 726 ], - "D1": [ 727 ], - "SD": [ 102 ], - "Z": [ 730 ] + "D0": [ 678 ], + "D1": [ 679 ], + "SD": [ 528 ], + "Z": [ 682 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.mux61": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.mux61": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -26114,13 +26786,13 @@ "Z": "output" }, "connections": { - "D0": [ 728 ], - "D1": [ 729 ], - "SD": [ 102 ], - "Z": [ 731 ] + "D0": [ 680 ], + "D1": [ 681 ], + "SD": [ 528 ], + "Z": [ 683 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.mux7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.mux7": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -26136,13 +26808,13 @@ "Z": "output" }, "connections": { - "D0": [ 730 ], - "D1": [ 731 ], - "SD": [ 112 ], - "Z": [ 711 ] + "D0": [ 682 ], + "D1": [ 683 ], + "SD": [ 78 ], + "Z": [ 663 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19566": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20962": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -26160,14 +26832,14 @@ "Z": "output" }, "connections": { - "A": [ 312 ], - "B": [ 732 ], + "A": [ 242 ], + "B": [ 684 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 713 ] + "Z": [ 665 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19567": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20963": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -26185,22 +26857,22 @@ "Z": "output" }, "connections": { - "A": [ 167 ], - "B": [ 733 ], + "A": [ 378 ], + "B": [ 685 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 714 ] + "Z": [ 666 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19568.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20964": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1911 + "INIT": 7 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { "A": "input", @@ -26210,61 +26882,14 @@ "Z": "output" }, "connections": { - "A": [ 314 ], - "B": [ 734 ], - "C": [ 735 ], - "D": [ 316 ], - "Z": [ 736 ] + "A": [ 686 ], + "B": [ 418 ], + "C": [ 687 ], + "D": [ 688 ], + "Z": [ 667 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19568.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 734 ], - "C": [ 735 ], - "D": [ 316 ], - "Z": [ 737 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19568.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 737 ], - "BLUT": [ 736 ], - "C0": [ 738 ], - "Z": [ 715 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19569": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20965": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -26282,86 +26907,14 @@ "Z": "output" }, "connections": { - "A": [ 164 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 739 ], - "Z": [ 738 ] + "A": [ 240 ], + "B": [ 241 ], + "C": [ 689 ], + "D": [ 239 ], + "Z": [ 688 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19570.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 740 ], - "B": [ 321 ], - "C": [ 741 ], - "D": [ 169 ], - "Z": [ 742 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19570.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 740 ], - "B": [ 321 ], - "C": [ 741 ], - "D": [ 169 ], - "Z": [ 743 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19570.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 743 ], - "BLUT": [ 742 ], - "C0": [ 744 ], - "Z": [ 716 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19571": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20966": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -26379,14 +26932,111 @@ "Z": "output" }, "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ 745 ], - "Z": [ 744 ] + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 690 ], + "Z": [ 687 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19572": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20967.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 691 ], + "C": [ 692 ], + "D": [ 237 ], + "Z": [ 693 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20967.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 691 ], + "C": [ 692 ], + "D": [ 237 ], + "Z": [ 694 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20967.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 694 ], + "BLUT": [ 693 ], + "C0": [ 695 ], + "Z": [ 668 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20968": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 696 ], + "Z": [ 695 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20969": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -26405,17 +27055,568 @@ }, "connections": { "A": [ 4 ], - "B": [ 207 ], - "C": [ 746 ], - "D": [ 139 ], + "B": [ 330 ], + "C": [ 697 ], + "D": [ 121 ], + "Z": [ 677 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20970.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 698 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 321 ], + "Z": [ 699 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20970.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 698 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 321 ], + "Z": [ 700 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20970.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 700 ], + "BLUT": [ 699 ], + "C0": [ 701 ], + "Z": [ 702 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 703 ], + "B": [ 704 ], + "C": [ 705 ], + "D": [ 706 ], + "Z": [ 707 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 703 ], + "B": [ 704 ], + "C": [ 705 ], + "D": [ 706 ], + "Z": [ 708 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 703 ], + "B": [ 704 ], + "C": [ 705 ], + "D": [ 706 ], + "Z": [ 709 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 703 ], + "B": [ 704 ], + "C": [ 705 ], + "D": [ 706 ], + "Z": [ 710 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 703 ], + "B": [ 704 ], + "C": [ 705 ], + "D": [ 706 ], + "Z": [ 711 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 703 ], + "B": [ 704 ], + "C": [ 705 ], + "D": [ 706 ], + "Z": [ 712 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 703 ], + "B": [ 704 ], + "C": [ 705 ], + "D": [ 706 ], + "Z": [ 713 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 703 ], + "B": [ 704 ], + "C": [ 705 ], + "D": [ 706 ], + "Z": [ 714 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 708 ], + "BLUT": [ 707 ], + "C0": [ 715 ], + "Z": [ 716 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 710 ], + "BLUT": [ 709 ], + "C0": [ 715 ], + "Z": [ 717 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 712 ], + "BLUT": [ 711 ], + "C0": [ 715 ], + "Z": [ 718 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 714 ], + "BLUT": [ 713 ], + "C0": [ 715 ], + "Z": [ 719 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 716 ], + "D1": [ 717 ], + "SD": [ 528 ], + "Z": [ 720 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 718 ], + "D1": [ 719 ], + "SD": [ 528 ], + "Z": [ 721 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 720 ], + "D1": [ 721 ], + "SD": [ 78 ], + "Z": [ 701 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20972": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 722 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 703 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20973": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 418 ], + "B": [ 723 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 704 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20974": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 724 ], + "B": [ 237 ], + "C": [ 725 ], + "D": [ 726 ], + "Z": [ 705 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20975": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 727 ], + "D": [ 239 ], + "Z": [ 726 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20976": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 728 ], "Z": [ 725 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19573.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20977.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 1911 }, "attributes": { "module_not_derived": 1, @@ -26429,18 +27630,18 @@ "Z": "output" }, "connections": { - "A": [ 747 ], - "B": [ 748 ], - "C": [ 102 ], - "D": [ 749 ], - "Z": [ 750 ] + "A": [ 729 ], + "B": [ 378 ], + "C": [ 730 ], + "D": [ 244 ], + "Z": [ 731 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19573.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20977.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 61687 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -26454,14 +27655,14 @@ "Z": "output" }, "connections": { - "A": [ 747 ], - "B": [ 748 ], - "C": [ 102 ], - "D": [ 749 ], - "Z": [ 751 ] + "A": [ 729 ], + "B": [ 378 ], + "C": [ 730 ], + "D": [ 244 ], + "Z": [ 732 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19573.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20977.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -26477,42 +27678,17 @@ "Z": "output" }, "connections": { - "ALUT": [ 751 ], - "BLUT": [ 750 ], - "C0": [ 752 ], - "Z": [ 753 ] + "ALUT": [ 732 ], + "BLUT": [ 731 ], + "C0": [ 733 ], + "Z": [ 706 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19574": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20978": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 754 ], - "B": [ 316 ], - "C": [ 755 ], - "D": [ "0" ], - "Z": [ 748 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19575": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 + "INIT": 256 }, "attributes": { "module_not_derived": 1, @@ -26526,18 +27702,43 @@ "Z": "output" }, "connections": { - "A": [ 167 ], - "B": [ 756 ], - "C": [ 162 ], - "D": [ 757 ], - "Z": [ 755 ] + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 734 ], + "Z": [ 733 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19576.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20979": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 322 ], + "C": [ 735 ], + "D": [ 121 ], + "Z": [ 715 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20980.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 }, "attributes": { "module_not_derived": 1, @@ -26551,18 +27752,18 @@ "Z": "output" }, "connections": { - "A": [ 758 ], - "B": [ 341 ], - "C": [ 759 ], - "D": [ 112 ], - "Z": [ 760 ] + "A": [ 736 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 311 ], + "Z": [ 737 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19576.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20980.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 28672 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -26576,14 +27777,14 @@ "Z": "output" }, "connections": { - "A": [ 758 ], - "B": [ 341 ], - "C": [ 759 ], - "D": [ 112 ], - "Z": [ 761 ] + "A": [ 736 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 311 ], + "Z": [ 738 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19576.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20980.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -26599,21 +27800,96 @@ "Z": "output" }, "connections": { - "ALUT": [ 761 ], - "BLUT": [ 760 ], - "C0": [ 762 ], + "ALUT": [ 738 ], + "BLUT": [ 737 ], + "C0": [ 739 ], + "Z": [ 740 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 741 ], + "B": [ 742 ], + "C": [ 743 ], + "D": [ 744 ], + "Z": [ 745 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 741 ], + "B": [ 742 ], + "C": [ 743 ], + "D": [ 744 ], + "Z": [ 746 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 741 ], + "B": [ 742 ], + "C": [ 743 ], + "D": [ 744 ], "Z": [ 747 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19577": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1911 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" }, "port_directions": { "A": "input", @@ -26623,22 +27899,22 @@ "Z": "output" }, "connections": { - "A": [ 763 ], - "B": [ 321 ], - "C": [ 312 ], - "D": [ 764 ], - "Z": [ 759 ] + "A": [ 741 ], + "B": [ 742 ], + "C": [ 743 ], + "D": [ 744 ], + "Z": [ 748 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19578": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.lut4": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1911 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" }, "port_directions": { "A": "input", @@ -26648,22 +27924,22 @@ "Z": "output" }, "connections": { - "A": [ 314 ], - "B": [ 765 ], - "C": [ 169 ], - "D": [ 766 ], - "Z": [ 762 ] + "A": [ 741 ], + "B": [ 742 ], + "C": [ 743 ], + "D": [ 744 ], + "Z": [ 749 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19579": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.lut5": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 45243 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" }, "port_directions": { "A": "input", @@ -26673,18 +27949,347 @@ "Z": "output" }, "connections": { - "A": [ 202 ], - "B": [ 286 ], - "C": [ 767 ], - "D": [ 287 ], + "A": [ 741 ], + "B": [ 742 ], + "C": [ 743 ], + "D": [ 744 ], + "Z": [ 750 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 741 ], + "B": [ 742 ], + "C": [ 743 ], + "D": [ 744 ], + "Z": [ 751 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 741 ], + "B": [ 742 ], + "C": [ 743 ], + "D": [ 744 ], "Z": [ 752 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19580.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 746 ], + "BLUT": [ 745 ], + "C0": [ 753 ], + "Z": [ 754 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 748 ], + "BLUT": [ 747 ], + "C0": [ 753 ], + "Z": [ 755 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 750 ], + "BLUT": [ 749 ], + "C0": [ 753 ], + "Z": [ 756 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 752 ], + "BLUT": [ 751 ], + "C0": [ 753 ], + "Z": [ 757 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 754 ], + "D1": [ 755 ], + "SD": [ 528 ], + "Z": [ 758 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 756 ], + "D1": [ 757 ], + "SD": [ 528 ], + "Z": [ 759 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 758 ], + "D1": [ 759 ], + "SD": [ 78 ], + "Z": [ 739 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20982": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 221 + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 760 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 741 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20983": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 378 ], + "B": [ 761 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 742 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20984": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 762 ], + "B": [ 418 ], + "C": [ 763 ], + "D": [ 764 ], + "Z": [ 743 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20985": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 765 ], + "D": [ 239 ], + "Z": [ 764 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20986": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 766 ], + "Z": [ 763 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20987.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 }, "attributes": { "module_not_derived": 1, @@ -26698,18 +28303,18 @@ "Z": "output" }, "connections": { - "A": [ 203 ], - "B": [ 4 ], + "A": [ 244 ], + "B": [ 767 ], "C": [ 768 ], - "D": [ 112 ], + "D": [ 237 ], "Z": [ 769 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19580.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20987.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 15 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -26723,14 +28328,14 @@ "Z": "output" }, "connections": { - "A": [ 203 ], - "B": [ 4 ], + "A": [ 244 ], + "B": [ 767 ], "C": [ 768 ], - "D": [ 112 ], + "D": [ 237 ], "Z": [ 770 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19580.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20987.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -26748,563 +28353,15 @@ "connections": { "ALUT": [ 770 ], "BLUT": [ 769 ], - "C0": [ 139 ], - "Z": [ 749 ] + "C0": [ 771 ], + "Z": [ 744 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19581.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20988": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 47883 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 196 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 771 ], - "Z": [ 772 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19581.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 196 ], - "B": [ 286 ], - "C": [ 287 ], - "D": [ 771 ], - "Z": [ 773 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19581.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 773 ], - "BLUT": [ 772 ], - "C0": [ 774 ], - "Z": [ 775 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 776 ], - "B": [ 777 ], - "C": [ 778 ], - "D": [ 779 ], - "Z": [ 780 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 776 ], - "B": [ 777 ], - "C": [ 778 ], - "D": [ 779 ], - "Z": [ 781 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 776 ], - "B": [ 777 ], - "C": [ 778 ], - "D": [ 779 ], - "Z": [ 782 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 776 ], - "B": [ 777 ], - "C": [ 778 ], - "D": [ 779 ], - "Z": [ 783 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 776 ], - "B": [ 777 ], - "C": [ 778 ], - "D": [ 779 ], - "Z": [ 784 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 776 ], - "B": [ 777 ], - "C": [ 778 ], - "D": [ 779 ], - "Z": [ 785 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 776 ], - "B": [ 777 ], - "C": [ 778 ], - "D": [ 779 ], - "Z": [ 786 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 776 ], - "B": [ 777 ], - "C": [ 778 ], - "D": [ 779 ], - "Z": [ 787 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 781 ], - "BLUT": [ 780 ], - "C0": [ 788 ], - "Z": [ 789 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 783 ], - "BLUT": [ 782 ], - "C0": [ 788 ], - "Z": [ 790 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 785 ], - "BLUT": [ 784 ], - "C0": [ 788 ], - "Z": [ 791 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 787 ], - "BLUT": [ 786 ], - "C0": [ 788 ], - "Z": [ 792 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 789 ], - "D1": [ 790 ], - "SD": [ 102 ], - "Z": [ 793 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 791 ], - "D1": [ 792 ], - "SD": [ 102 ], - "Z": [ 794 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 793 ], - "D1": [ 794 ], - "SD": [ 112 ], - "Z": [ 774 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19583": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 312 ], - "B": [ 795 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 776 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19584": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 167 ], - "B": [ 796 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 777 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19585.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 797 ], - "C": [ 798 ], - "D": [ 316 ], - "Z": [ 799 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19585.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 797 ], - "C": [ 798 ], - "D": [ 316 ], - "Z": [ 800 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19585.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 800 ], - "BLUT": [ 799 ], - "C0": [ 801 ], - "Z": [ 778 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19586": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 + "INIT": 256 }, "attributes": { "module_not_derived": 1, @@ -27318,111 +28375,14 @@ "Z": "output" }, "connections": { - "A": [ 164 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 802 ], - "Z": [ 801 ] + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 772 ], + "Z": [ 771 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19587.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 803 ], - "B": [ 321 ], - "C": [ 804 ], - "D": [ 169 ], - "Z": [ 805 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19587.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 803 ], - "B": [ 321 ], - "C": [ 804 ], - "D": [ 169 ], - "Z": [ 806 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19587.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 806 ], - "BLUT": [ 805 ], - "C0": [ 807 ], - "Z": [ 779 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19588": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 164 ], - "C": [ 165 ], - "D": [ 808 ], - "Z": [ 807 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19589": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20989": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -27441,167 +28401,17 @@ }, "connections": { "A": [ 4 ], - "B": [ 197 ], - "C": [ 809 ], - "D": [ 139 ], - "Z": [ 788 ] + "B": [ 312 ], + "C": [ 773 ], + "D": [ 121 ], + "Z": [ 753 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19590": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20990.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 48896 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 87 ], - "B": [ 810 ], - "C": [ 44 ], - "D": [ 811 ], - "Z": [ 812 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19591": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61678 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 810 ], - "B": [ 813 ], - "C": [ 41 ], - "D": [ 814 ], - "Z": [ 811 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19592": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 87 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 814 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19593": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 99 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 810 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19594": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61678 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 810 ], - "B": [ 815 ], - "C": [ 42 ], - "D": [ 814 ], - "Z": [ 816 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19595": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61678 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 810 ], - "B": [ 817 ], - "C": [ 43 ], - "D": [ 814 ], - "Z": [ 818 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19596.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3788 + "INIT": 47883 }, "attributes": { "module_not_derived": 1, @@ -27615,18 +28425,18 @@ "Z": "output" }, "connections": { - "A": [ 99 ], - "B": [ 819 ], - "C": [ 87 ], - "D": [ 33 ], - "Z": [ 820 ] + "A": [ 774 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 306 ], + "Z": [ 775 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19596.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20990.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 62668 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -27640,14 +28450,14 @@ "Z": "output" }, "connections": { - "A": [ 99 ], - "B": [ 819 ], - "C": [ 87 ], - "D": [ 33 ], - "Z": [ 821 ] + "A": [ 774 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 306 ], + "Z": [ 776 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19596.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20990.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -27663,17 +28473,17 @@ "Z": "output" }, "connections": { - "ALUT": [ 821 ], - "BLUT": [ 820 ], - "C0": [ 44 ], - "Z": [ 822 ] + "ALUT": [ 776 ], + "BLUT": [ 775 ], + "C0": [ 777 ], + "Z": [ 778 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65279 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -27687,18 +28497,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 823 ], - "C": [ 824 ], - "D": [ 45 ], - "Z": [ 825 ] + "A": [ 779 ], + "B": [ 780 ], + "C": [ 781 ], + "D": [ 782 ], + "Z": [ 783 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 64764 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -27712,18 +28522,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 823 ], - "C": [ 824 ], - "D": [ 45 ], - "Z": [ 826 ] + "A": [ 779 ], + "B": [ 780 ], + "C": [ 781 ], + "D": [ 782 ], + "Z": [ 784 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.lut2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 61695 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -27737,18 +28547,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 823 ], - "C": [ 824 ], - "D": [ 45 ], - "Z": [ 827 ] + "A": [ 779 ], + "B": [ 780 ], + "C": [ 781 ], + "D": [ 782 ], + "Z": [ 785 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 61680 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -27762,18 +28572,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 823 ], - "C": [ 824 ], - "D": [ 45 ], - "Z": [ 828 ] + "A": [ 779 ], + "B": [ 780 ], + "C": [ 781 ], + "D": [ 782 ], + "Z": [ 786 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.lut4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.lut4": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 255 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -27787,18 +28597,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 823 ], - "C": [ 824 ], - "D": [ 45 ], - "Z": [ 829 ] + "A": [ 779 ], + "B": [ 780 ], + "C": [ 781 ], + "D": [ 782 ], + "Z": [ 787 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.lut5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.lut5": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -27812,18 +28622,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 823 ], - "C": [ 824 ], - "D": [ 45 ], - "Z": [ 830 ] + "A": [ 779 ], + "B": [ 780 ], + "C": [ 781 ], + "D": [ 782 ], + "Z": [ 788 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.lut6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.lut6": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 255 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -27837,14 +28647,14 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 823 ], - "C": [ 824 ], - "D": [ 45 ], - "Z": [ 831 ] + "A": [ 779 ], + "B": [ 780 ], + "C": [ 781 ], + "D": [ 782 ], + "Z": [ 789 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.lut7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.lut7": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -27862,14 +28672,14 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 823 ], - "C": [ 824 ], - "D": [ 45 ], - "Z": [ 832 ] + "A": [ 779 ], + "B": [ 780 ], + "C": [ 781 ], + "D": [ 782 ], + "Z": [ 790 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -27885,13 +28695,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 826 ], - "BLUT": [ 825 ], - "C0": [ 833 ], - "Z": [ 834 ] + "ALUT": [ 784 ], + "BLUT": [ 783 ], + "C0": [ 791 ], + "Z": [ 792 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.mux51": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -27907,13 +28717,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 828 ], - "BLUT": [ 827 ], - "C0": [ 833 ], - "Z": [ 835 ] + "ALUT": [ 786 ], + "BLUT": [ 785 ], + "C0": [ 791 ], + "Z": [ 793 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.mux52": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.mux52": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -27929,13 +28739,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 830 ], - "BLUT": [ 829 ], - "C0": [ 833 ], - "Z": [ 836 ] + "ALUT": [ 788 ], + "BLUT": [ 787 ], + "C0": [ 791 ], + "Z": [ 794 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.mux53": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.mux53": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -27951,13 +28761,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 832 ], - "BLUT": [ 831 ], - "C0": [ 833 ], - "Z": [ 837 ] + "ALUT": [ 790 ], + "BLUT": [ 789 ], + "C0": [ 791 ], + "Z": [ 795 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.mux60": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.mux60": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -27973,13 +28783,13 @@ "Z": "output" }, "connections": { - "D0": [ 834 ], - "D1": [ 835 ], - "SD": [ 838 ], - "Z": [ 839 ] + "D0": [ 792 ], + "D1": [ 793 ], + "SD": [ 528 ], + "Z": [ 796 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.mux61": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.mux61": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -27995,13 +28805,13 @@ "Z": "output" }, "connections": { - "D0": [ 836 ], - "D1": [ 837 ], - "SD": [ 838 ], - "Z": [ 840 ] + "D0": [ 794 ], + "D1": [ 795 ], + "SD": [ 528 ], + "Z": [ 797 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.mux7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.mux7": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -28017,17 +28827,142 @@ "Z": "output" }, "connections": { - "D0": [ 839 ], - "D1": [ 840 ], - "SD": [ 841 ], - "Z": [ 842 ] + "D0": [ 796 ], + "D1": [ 797 ], + "SD": [ 78 ], + "Z": [ 777 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19598.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20992": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 49087 + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 798 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 779 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20993": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 378 ], + "B": [ 799 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 780 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20994": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 800 ], + "B": [ 418 ], + "C": [ 801 ], + "D": [ 802 ], + "Z": [ 781 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20995": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 803 ], + "D": [ 239 ], + "Z": [ 802 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20996": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 804 ], + "Z": [ 801 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20997.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 }, "attributes": { "module_not_derived": 1, @@ -28041,18 +28976,18 @@ "Z": "output" }, "connections": { - "A": [ 100 ], - "B": [ 33 ], - "C": [ 843 ], - "D": [ 844 ], - "Z": [ 845 ] + "A": [ 244 ], + "B": [ 805 ], + "C": [ 806 ], + "D": [ 237 ], + "Z": [ 807 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19598.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20997.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 191 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -28066,14 +29001,687 @@ "Z": "output" }, "connections": { - "A": [ 100 ], - "B": [ 33 ], - "C": [ 843 ], - "D": [ 844 ], + "A": [ 244 ], + "B": [ 805 ], + "C": [ 806 ], + "D": [ 237 ], + "Z": [ 808 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20997.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 808 ], + "BLUT": [ 807 ], + "C0": [ 809 ], + "Z": [ 782 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20998": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 810 ], + "Z": [ 809 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20999": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 307 ], + "C": [ 811 ], + "D": [ 121 ], + "Z": [ 791 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21000.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 812 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 301 ], + "Z": [ 813 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21000.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 812 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 301 ], + "Z": [ 814 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21000.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 814 ], + "BLUT": [ 813 ], + "C0": [ 815 ], + "Z": [ 816 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 817 ], + "B": [ 818 ], + "C": [ 819 ], + "D": [ 820 ], + "Z": [ 821 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 817 ], + "B": [ 818 ], + "C": [ 819 ], + "D": [ 820 ], + "Z": [ 822 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 817 ], + "B": [ 818 ], + "C": [ 819 ], + "D": [ 820 ], + "Z": [ 823 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 817 ], + "B": [ 818 ], + "C": [ 819 ], + "D": [ 820 ], + "Z": [ 824 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 817 ], + "B": [ 818 ], + "C": [ 819 ], + "D": [ 820 ], + "Z": [ 825 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 817 ], + "B": [ 818 ], + "C": [ 819 ], + "D": [ 820 ], + "Z": [ 826 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 817 ], + "B": [ 818 ], + "C": [ 819 ], + "D": [ 820 ], + "Z": [ 827 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 817 ], + "B": [ 818 ], + "C": [ 819 ], + "D": [ 820 ], + "Z": [ 828 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 822 ], + "BLUT": [ 821 ], + "C0": [ 829 ], + "Z": [ 830 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 824 ], + "BLUT": [ 823 ], + "C0": [ 829 ], + "Z": [ 831 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 826 ], + "BLUT": [ 825 ], + "C0": [ 829 ], + "Z": [ 832 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 828 ], + "BLUT": [ 827 ], + "C0": [ 829 ], + "Z": [ 833 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 830 ], + "D1": [ 831 ], + "SD": [ 528 ], + "Z": [ 834 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 832 ], + "D1": [ 833 ], + "SD": [ 528 ], + "Z": [ 835 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 834 ], + "D1": [ 835 ], + "SD": [ 78 ], + "Z": [ 815 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21002": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 836 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 817 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21003": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 378 ], + "B": [ 837 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 818 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21004": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 838 ], + "B": [ 418 ], + "C": [ 839 ], + "D": [ 840 ], + "Z": [ 819 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21005": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 841 ], + "D": [ 239 ], + "Z": [ 840 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21006": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 842 ], + "Z": [ 839 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21007.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 843 ], + "C": [ 844 ], + "D": [ 237 ], + "Z": [ 845 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21007.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 843 ], + "C": [ 844 ], + "D": [ 237 ], "Z": [ 846 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19598.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21007.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -28092,18 +29700,18 @@ "ALUT": [ 846 ], "BLUT": [ 845 ], "C0": [ 847 ], - "Z": [ 833 ] + "Z": [ 820 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19599": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21008": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1 + "INIT": 256 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { "A": "input", @@ -28113,43 +29721,18 @@ "Z": "output" }, "connections": { - "A": [ 36 ], - "B": [ 33 ], - "C": [ "0" ], - "D": [ "0" ], + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 848 ], "Z": [ 847 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19600": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21009": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 46 ], - "B": [ 55 ], - "C": [ 65 ], - "D": [ "0" ], - "Z": [ 844 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19601": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36608 + "INIT": 4027 }, "attributes": { "module_not_derived": 1, @@ -28163,22 +29746,22 @@ "Z": "output" }, "connections": { - "A": [ 33 ], - "B": [ 100 ], - "C": [ 63 ], - "D": [ 844 ], - "Z": [ 823 ] + "A": [ 4 ], + "B": [ 302 ], + "C": [ 849 ], + "D": [ 121 ], + "Z": [ 829 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19602": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21010.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 14848 + "INIT": 47883 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" }, "port_directions": { "A": "input", @@ -28188,122 +29771,22 @@ "Z": "output" }, "connections": { - "A": [ 98 ], - "B": [ 100 ], - "C": [ 64 ], - "D": [ 33 ], - "Z": [ 838 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19603": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 100 ], - "B": [ 848 ], - "C": [ 843 ], - "D": [ "0" ], - "Z": [ 824 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19604": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 64 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 848 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19605": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 7 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 100 ], - "C": [ 45 ], - "D": [ "0" ], - "Z": [ 841 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65519 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 63 ], - "B": [ 849 ], - "C": [ 45 ], - "D": [ 850 ], + "A": [ 850 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 297 ], "Z": [ 851 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21010.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65484 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" }, "port_directions": { "A": "input", @@ -28313,171 +29796,21 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 849 ], - "C": [ 45 ], - "D": [ 850 ], + "A": [ 850 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 297 ], "Z": [ 852 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65295 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 63 ], - "B": [ 849 ], - "C": [ 45 ], - "D": [ 850 ], - "Z": [ 853 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65280 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 63 ], - "B": [ 849 ], - "C": [ 45 ], - "D": [ 850 ], - "Z": [ 854 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3855 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 63 ], - "B": [ 849 ], - "C": [ 45 ], - "D": [ 850 ], - "Z": [ 855 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 63 ], - "B": [ 849 ], - "C": [ 45 ], - "D": [ 850 ], - "Z": [ 856 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3855 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 63 ], - "B": [ 849 ], - "C": [ 45 ], - "D": [ 850 ], - "Z": [ 857 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 63 ], - "B": [ 849 ], - "C": [ 45 ], - "D": [ 850 ], - "Z": [ 858 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21010.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" }, "port_directions": { "ALUT": "input", @@ -28488,151 +29821,19 @@ "connections": { "ALUT": [ 852 ], "BLUT": [ 851 ], - "C0": [ 859 ], - "Z": [ 860 ] + "C0": [ 853 ], + "Z": [ 854 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 854 ], - "BLUT": [ 853 ], - "C0": [ 859 ], - "Z": [ 861 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 856 ], - "BLUT": [ 855 ], - "C0": [ 859 ], - "Z": [ 862 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 858 ], - "BLUT": [ 857 ], - "C0": [ 859 ], - "Z": [ 863 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 860 ], - "D1": [ 861 ], - "SD": [ 838 ], - "Z": [ 864 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 862 ], - "D1": [ 863 ], - "SD": [ 838 ], - "Z": [ 865 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 864 ], - "D1": [ 865 ], - "SD": [ 841 ], - "Z": [ 866 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19607.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 49087 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" }, "port_directions": { "A": "input", @@ -28642,165 +29843,18 @@ "Z": "output" }, "connections": { - "A": [ 100 ], - "B": [ 33 ], - "C": [ 867 ], - "D": [ 868 ], - "Z": [ 869 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19607.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 100 ], - "B": [ 33 ], - "C": [ 867 ], - "D": [ 868 ], - "Z": [ 870 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19607.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 870 ], - "BLUT": [ 869 ], - "C0": [ 847 ], + "A": [ 855 ], + "B": [ 856 ], + "C": [ 857 ], + "D": [ 858 ], "Z": [ 859 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19608": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 46 ], - "B": [ 55 ], - "C": [ 67 ], - "D": [ "0" ], - "Z": [ 868 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19609": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36608 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 100 ], - "C": [ 63 ], - "D": [ 868 ], - "Z": [ 849 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19610": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 100 ], - "B": [ 848 ], - "C": [ 867 ], - "D": [ "0" ], - "Z": [ 850 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65519 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 63 ], - "B": [ 871 ], - "C": [ 45 ], - "D": [ 872 ], - "Z": [ 873 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65484 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -28814,18 +29868,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 871 ], - "C": [ 45 ], - "D": [ 872 ], - "Z": [ 874 ] + "A": [ 855 ], + "B": [ 856 ], + "C": [ 857 ], + "D": [ 858 ], + "Z": [ 860 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.lut2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65295 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -28839,18 +29893,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 871 ], - "C": [ 45 ], - "D": [ 872 ], - "Z": [ 875 ] + "A": [ 855 ], + "B": [ 856 ], + "C": [ 857 ], + "D": [ 858 ], + "Z": [ 861 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65280 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -28864,18 +29918,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 871 ], - "C": [ 45 ], - "D": [ 872 ], - "Z": [ 876 ] + "A": [ 855 ], + "B": [ 856 ], + "C": [ 857 ], + "D": [ 858 ], + "Z": [ 862 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.lut4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.lut4": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 3855 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -28889,18 +29943,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 871 ], - "C": [ 45 ], - "D": [ 872 ], - "Z": [ 877 ] + "A": [ 855 ], + "B": [ 856 ], + "C": [ 857 ], + "D": [ 858 ], + "Z": [ 863 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.lut5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.lut5": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -28914,18 +29968,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 871 ], - "C": [ 45 ], - "D": [ 872 ], - "Z": [ 878 ] + "A": [ 855 ], + "B": [ 856 ], + "C": [ 857 ], + "D": [ 858 ], + "Z": [ 864 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.lut6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.lut6": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 3855 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -28939,14 +29993,14 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 871 ], - "C": [ 45 ], - "D": [ 872 ], - "Z": [ 879 ] + "A": [ 855 ], + "B": [ 856 ], + "C": [ 857 ], + "D": [ 858 ], + "Z": [ 865 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.lut7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.lut7": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28964,14 +30018,14 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 871 ], - "C": [ 45 ], - "D": [ 872 ], - "Z": [ 880 ] + "A": [ 855 ], + "B": [ 856 ], + "C": [ 857 ], + "D": [ 858 ], + "Z": [ 866 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -28987,13 +30041,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 874 ], - "BLUT": [ 873 ], - "C0": [ 881 ], - "Z": [ 882 ] + "ALUT": [ 860 ], + "BLUT": [ 859 ], + "C0": [ 867 ], + "Z": [ 868 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.mux51": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -29009,13 +30063,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 876 ], - "BLUT": [ 875 ], - "C0": [ 881 ], - "Z": [ 883 ] + "ALUT": [ 862 ], + "BLUT": [ 861 ], + "C0": [ 867 ], + "Z": [ 869 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.mux52": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.mux52": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -29031,13 +30085,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 878 ], - "BLUT": [ 877 ], - "C0": [ 881 ], - "Z": [ 884 ] + "ALUT": [ 864 ], + "BLUT": [ 863 ], + "C0": [ 867 ], + "Z": [ 870 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.mux53": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.mux53": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -29053,13 +30107,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 880 ], - "BLUT": [ 879 ], - "C0": [ 881 ], - "Z": [ 885 ] + "ALUT": [ 866 ], + "BLUT": [ 865 ], + "C0": [ 867 ], + "Z": [ 871 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.mux60": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.mux60": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -29075,13 +30129,13 @@ "Z": "output" }, "connections": { - "D0": [ 882 ], - "D1": [ 883 ], - "SD": [ 838 ], - "Z": [ 886 ] + "D0": [ 868 ], + "D1": [ 869 ], + "SD": [ 528 ], + "Z": [ 872 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.mux61": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.mux61": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -29097,13 +30151,13 @@ "Z": "output" }, "connections": { - "D0": [ 884 ], - "D1": [ 885 ], - "SD": [ 838 ], - "Z": [ 887 ] + "D0": [ 870 ], + "D1": [ 871 ], + "SD": [ 528 ], + "Z": [ 873 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.mux7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.mux7": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -29119,17 +30173,142 @@ "Z": "output" }, "connections": { - "D0": [ 886 ], - "D1": [ 887 ], - "SD": [ 841 ], - "Z": [ 888 ] + "D0": [ 872 ], + "D1": [ 873 ], + "SD": [ 78 ], + "Z": [ 853 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19612.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21012": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 49087 + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 874 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 855 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21013": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 418 ], + "B": [ 875 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 856 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21014": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 876 ], + "B": [ 237 ], + "C": [ 877 ], + "D": [ 878 ], + "Z": [ 857 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21015": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 879 ], + "D": [ 239 ], + "Z": [ 878 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21016": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 880 ], + "Z": [ 877 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21017.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 }, "attributes": { "module_not_derived": 1, @@ -29143,18 +30322,18 @@ "Z": "output" }, "connections": { - "A": [ 100 ], - "B": [ 33 ], - "C": [ 889 ], - "D": [ 890 ], - "Z": [ 891 ] + "A": [ 881 ], + "B": [ 378 ], + "C": [ 882 ], + "D": [ 244 ], + "Z": [ 883 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19612.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21017.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 191 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -29168,14 +30347,14 @@ "Z": "output" }, "connections": { - "A": [ 100 ], - "B": [ 33 ], - "C": [ 889 ], - "D": [ 890 ], - "Z": [ 892 ] + "A": [ 881 ], + "B": [ 378 ], + "C": [ 882 ], + "D": [ 244 ], + "Z": [ 884 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19612.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21017.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -29191,42 +30370,17 @@ "Z": "output" }, "connections": { - "ALUT": [ 892 ], - "BLUT": [ 891 ], - "C0": [ 847 ], - "Z": [ 881 ] + "ALUT": [ 884 ], + "BLUT": [ 883 ], + "C0": [ 885 ], + "Z": [ 858 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19613": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21018": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 46 ], - "B": [ 55 ], - "C": [ 69 ], - "D": [ "0" ], - "Z": [ 890 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19614": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36608 + "INIT": 256 }, "attributes": { "module_not_derived": 1, @@ -29240,22 +30394,22 @@ "Z": "output" }, "connections": { - "A": [ 33 ], - "B": [ 100 ], - "C": [ 63 ], - "D": [ 890 ], - "Z": [ 871 ] + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 886 ], + "Z": [ 885 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19615": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21019": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 64 + "INIT": 4027 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { "A": "input", @@ -29265,18 +30419,90 @@ "Z": "output" }, "connections": { - "A": [ 100 ], - "B": [ 848 ], - "C": [ 889 ], - "D": [ "0" ], - "Z": [ 872 ] + "A": [ 4 ], + "B": [ 298 ], + "C": [ 887 ], + "D": [ 121 ], + "Z": [ 867 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21020.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65519 + "INIT": 47883 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 888 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 293 ], + "Z": [ 889 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21020.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 888 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 293 ], + "Z": [ 890 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21020.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 890 ], + "BLUT": [ 889 ], + "C0": [ 891 ], + "Z": [ 892 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -29290,18 +30516,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 893 ], - "C": [ 45 ], - "D": [ 894 ], - "Z": [ 895 ] + "A": [ 893 ], + "B": [ 894 ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 897 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65484 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -29315,18 +30541,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 893 ], - "C": [ 45 ], - "D": [ 894 ], - "Z": [ 896 ] + "A": [ 893 ], + "B": [ 894 ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 898 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.lut2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65295 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -29340,18 +30566,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 893 ], - "C": [ 45 ], - "D": [ 894 ], - "Z": [ 897 ] + "A": [ 893 ], + "B": [ 894 ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 899 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65280 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -29365,18 +30591,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 893 ], - "C": [ 45 ], - "D": [ 894 ], - "Z": [ 898 ] + "A": [ 893 ], + "B": [ 894 ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 900 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.lut4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.lut4": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 3855 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -29390,18 +30616,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 893 ], - "C": [ 45 ], - "D": [ 894 ], - "Z": [ 899 ] + "A": [ 893 ], + "B": [ 894 ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 901 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.lut5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.lut5": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -29415,18 +30641,18 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 893 ], - "C": [ 45 ], - "D": [ 894 ], - "Z": [ 900 ] + "A": [ 893 ], + "B": [ 894 ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 902 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.lut6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.lut6": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 3855 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -29440,14 +30666,14 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 893 ], - "C": [ 45 ], - "D": [ 894 ], - "Z": [ 901 ] + "A": [ 893 ], + "B": [ 894 ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 903 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.lut7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.lut7": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -29465,14 +30691,14 @@ "Z": "output" }, "connections": { - "A": [ 63 ], - "B": [ 893 ], - "C": [ 45 ], - "D": [ 894 ], - "Z": [ 902 ] + "A": [ 893 ], + "B": [ 894 ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 904 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -29487,43 +30713,21 @@ "C0": "input", "Z": "output" }, - "connections": { - "ALUT": [ 896 ], - "BLUT": [ 895 ], - "C0": [ 903 ], - "Z": [ 904 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, "connections": { "ALUT": [ 898 ], "BLUT": [ 897 ], - "C0": [ 903 ], - "Z": [ 905 ] + "C0": [ 905 ], + "Z": [ 906 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.mux52": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" }, "port_directions": { "ALUT": "input", @@ -29534,18 +30738,18 @@ "connections": { "ALUT": [ 900 ], "BLUT": [ 899 ], - "C0": [ 903 ], - "Z": [ 906 ] + "C0": [ 905 ], + "Z": [ 907 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.mux53": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.mux52": { "hide_name": 1, "type": "PFUMX", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" }, "port_directions": { "ALUT": "input", @@ -29556,11 +30760,33 @@ "connections": { "ALUT": [ 902 ], "BLUT": [ 901 ], - "C0": [ 903 ], - "Z": [ 907 ] + "C0": [ 905 ], + "Z": [ 908 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.mux60": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 904 ], + "BLUT": [ 903 ], + "C0": [ 905 ], + "Z": [ 909 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.mux60": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -29575,43 +30801,21 @@ "SD": "input", "Z": "output" }, - "connections": { - "D0": [ 904 ], - "D1": [ 905 ], - "SD": [ 838 ], - "Z": [ 908 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, "connections": { "D0": [ 906 ], "D1": [ 907 ], - "SD": [ 838 ], - "Z": [ 909 ] + "SD": [ 528 ], + "Z": [ 910 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.mux7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.mux61": { "hide_name": 1, "type": "L6MUX21", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" }, "port_directions": { "D0": "input", @@ -29622,1625 +30826,11 @@ "connections": { "D0": [ 908 ], "D1": [ 909 ], - "SD": [ 841 ], - "Z": [ 910 ] + "SD": [ 528 ], + "Z": [ 911 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19617.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 49087 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 100 ], - "B": [ 33 ], - "C": [ 911 ], - "D": [ 912 ], - "Z": [ 913 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19617.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 100 ], - "B": [ 33 ], - "C": [ 911 ], - "D": [ 912 ], - "Z": [ 914 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19617.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 914 ], - "BLUT": [ 913 ], - "C0": [ 847 ], - "Z": [ 903 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19618": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 112 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 46 ], - "B": [ 55 ], - "C": [ 71 ], - "D": [ "0" ], - "Z": [ 912 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19619": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36608 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 100 ], - "C": [ 63 ], - "D": [ 912 ], - "Z": [ 893 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19620": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 100 ], - "B": [ 848 ], - "C": [ 911 ], - "D": [ "0" ], - "Z": [ 894 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19621": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 13 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 36 ], - "B": [ 45 ], - "C": [ 915 ], - "D": [ "0" ], - "Z": [ 916 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19622": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 31 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 917 ], - "B": [ 66 ], - "C": [ 918 ], - "D": [ 919 ], - "Z": [ 915 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19623": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 920 ], - "B": [ 55 ], - "C": [ 46 ], - "D": [ "0" ], - "Z": [ 917 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19624": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 813 ], - "B": [ 819 ], - "C": [ 817 ], - "D": [ 815 ], - "Z": [ 920 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19625": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 98 ], - "C": [ 41 ], - "D": [ "0" ], - "Z": [ 919 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19626": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 98 ], - "C": [ 36 ], - "D": [ 63 ], - "Z": [ 918 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19627": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 45243 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 156 ], - "B": [ 36 ], - "C": [ 921 ], - "D": [ 922 ], - "Z": [ 923 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19628": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 917 ], - "B": [ 918 ], - "C": [ 68 ], - "D": [ "0" ], - "Z": [ 921 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19629": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 127 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 98 ], - "C": [ 42 ], - "D": [ 199 ], - "Z": [ 922 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19630": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 244 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 156 ], - "B": [ 36 ], - "C": [ 924 ], - "D": [ "0" ], - "Z": [ 925 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19631": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 20224 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 917 ], - "B": [ 70 ], - "C": [ 918 ], - "D": [ 926 ], - "Z": [ 924 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19632": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 43 ], - "B": [ 98 ], - "C": [ 33 ], - "D": [ 199 ], - "Z": [ 926 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19633": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 13 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 36 ], - "B": [ 45 ], - "C": [ 927 ], - "D": [ "0" ], - "Z": [ 928 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19634": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 917 ], - "B": [ 918 ], - "C": [ 72 ], - "D": [ 929 ], - "Z": [ 927 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19635": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 98 ], - "C": [ 44 ], - "D": [ "0" ], - "Z": [ 929 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19636": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 261 ], - "D": [ "0" ], - "Z": [ 930 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19637": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 253 ], - "D": [ "0" ], - "Z": [ 931 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19638": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 244 ], - "D": [ "0" ], - "Z": [ 932 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19639": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 265 ], - "D": [ "0" ], - "Z": [ 933 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19640": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 257 ], - "D": [ "0" ], - "Z": [ 934 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19641": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 249 ], - "D": [ "0" ], - "Z": [ 935 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19642": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 239 ], - "D": [ "0" ], - "Z": [ 936 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19643": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 234 ], - "D": [ "0" ], - "Z": [ 937 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19644": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 229 ], - "D": [ "0" ], - "Z": [ 938 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19645": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 225 ], - "D": [ "0" ], - "Z": [ 939 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19646": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 221 ], - "D": [ "0" ], - "Z": [ 940 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19647": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 216 ], - "D": [ "0" ], - "Z": [ 941 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19648": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 211 ], - "D": [ "0" ], - "Z": [ 942 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19649": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 207 ], - "D": [ "0" ], - "Z": [ 943 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19650": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 203 ], - "D": [ "0" ], - "Z": [ 944 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19651": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 312 ], - "C": [ 197 ], - "D": [ "0" ], - "Z": [ 945 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 946 ], - "B": [ 947 ], - "C": [ 948 ], - "D": [ 949 ], - "Z": [ 950 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 946 ], - "B": [ 947 ], - "C": [ 948 ], - "D": [ 949 ], - "Z": [ 951 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 946 ], - "B": [ 947 ], - "C": [ 948 ], - "D": [ 949 ], - "Z": [ 952 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65534 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 946 ], - "B": [ 947 ], - "C": [ 948 ], - "D": [ 949 ], - "Z": [ 953 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 951 ], - "BLUT": [ 950 ], - "C0": [ 954 ], - "Z": [ 955 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 953 ], - "BLUT": [ 952 ], - "C0": [ 954 ], - "Z": [ 956 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 955 ], - "D1": [ 956 ], - "SD": [ 957 ], - "Z": [ 958 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19653.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 847 ], - "B": [ 959 ], - "C": [ 960 ], - "D": [ 961 ], - "Z": [ 962 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19653.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 847 ], - "B": [ 959 ], - "C": [ 960 ], - "D": [ 961 ], - "Z": [ 963 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19653.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 963 ], - "BLUT": [ 962 ], - "C0": [ 964 ], - "Z": [ 946 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19654": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 32 ], - "B": [ 137 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 961 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19655": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 125 ], - "B": [ 126 ], - "C": [ 965 ], - "D": [ "0" ], - "Z": [ 960 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19656.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 85 ], - "B": [ 99 ], - "C": [ 63 ], - "D": [ 97 ], - "Z": [ 966 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19656.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 85 ], - "B": [ 99 ], - "C": [ 63 ], - "D": [ 97 ], - "Z": [ 967 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19656.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 967 ], - "BLUT": [ 966 ], - "C0": [ 73 ], - "Z": [ 965 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 86 ], - "B": [ 87 ], - "C": [ 88 ], - "D": [ 93 ], - "Z": [ 968 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 86 ], - "B": [ 87 ], - "C": [ 88 ], - "D": [ 93 ], - "Z": [ 969 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 86 ], - "B": [ 87 ], - "C": [ 88 ], - "D": [ 93 ], - "Z": [ 970 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 86 ], - "B": [ 87 ], - "C": [ 88 ], - "D": [ 93 ], - "Z": [ 971 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 86 ], - "B": [ 87 ], - "C": [ 88 ], - "D": [ 93 ], - "Z": [ 972 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 86 ], - "B": [ 87 ], - "C": [ 88 ], - "D": [ 93 ], - "Z": [ 973 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 86 ], - "B": [ 87 ], - "C": [ 88 ], - "D": [ 93 ], - "Z": [ 974 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 86 ], - "B": [ 87 ], - "C": [ 88 ], - "D": [ 93 ], - "Z": [ 975 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 969 ], - "BLUT": [ 968 ], - "C0": [ 53 ], - "Z": [ 976 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 971 ], - "BLUT": [ 970 ], - "C0": [ 53 ], - "Z": [ 977 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 973 ], - "BLUT": [ 972 ], - "C0": [ 53 ], - "Z": [ 978 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 975 ], - "BLUT": [ 974 ], - "C0": [ 53 ], - "Z": [ 979 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 976 ], - "D1": [ 977 ], - "SD": [ 96 ], - "Z": [ 980 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 978 ], - "D1": [ 979 ], - "SD": [ 96 ], - "Z": [ 981 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.mux7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.mux7": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -31256,276 +30846,13 @@ "Z": "output" }, "connections": { - "D0": [ 980 ], - "D1": [ 981 ], - "SD": [ 54 ], - "Z": [ 959 ] + "D0": [ 910 ], + "D1": [ 911 ], + "SD": [ 78 ], + "Z": [ 891 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19658": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 115 ], - "B": [ 107 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 964 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19659.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4351 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 982 ], - "B": [ 983 ], - "C": [ 984 ], - "D": [ 33 ], - "Z": [ 985 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19659.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 982 ], - "B": [ 983 ], - "C": [ 984 ], - "D": [ 33 ], - "Z": [ 986 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19659.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 986 ], - "BLUT": [ 985 ], - "C0": [ 987 ], - "Z": [ 954 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61440 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 55 ], - "B": [ 52 ], - "C": [ 988 ], - "D": [ 115 ], - "Z": [ 989 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64972 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 55 ], - "B": [ 52 ], - "C": [ 988 ], - "D": [ 115 ], - "Z": [ 990 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 55 ], - "B": [ 52 ], - "C": [ 988 ], - "D": [ 115 ], - "Z": [ 991 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 55 ], - "B": [ 52 ], - "C": [ 988 ], - "D": [ 115 ], - "Z": [ 992 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 990 ], - "BLUT": [ 989 ], - "C0": [ 993 ], - "Z": [ 994 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 992 ], - "BLUT": [ 991 ], - "C0": [ 993 ], - "Z": [ 995 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 994 ], - "D1": [ 995 ], - "SD": [ 996 ], - "Z": [ 987 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19661": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21022": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -31543,18 +30870,312 @@ "Z": "output" }, "connections": { - "A": [ 36 ], - "B": [ 35 ], + "A": [ 244 ], + "B": [ 912 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 996 ] + "Z": [ 893 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21023": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65534 + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 913 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 894 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21024": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 914 ], + "B": [ 451 ], + "C": [ 915 ], + "D": [ 916 ], + "Z": [ 895 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21025": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 917 ], + "D": [ 239 ], + "Z": [ 916 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21026": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 918 ], + "C": [ 239 ], + "D": [ 241 ], + "Z": [ 915 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21027.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 418 ], + "B": [ 919 ], + "C": [ 920 ], + "D": [ 237 ], + "Z": [ 921 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21027.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 418 ], + "B": [ 919 ], + "C": [ 920 ], + "D": [ 237 ], + "Z": [ 922 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21027.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 922 ], + "BLUT": [ 921 ], + "C0": [ 923 ], + "Z": [ 896 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21028": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 924 ], + "Z": [ 923 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21029": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 294 ], + "C": [ 925 ], + "D": [ 121 ], + "Z": [ 905 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21030.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 926 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 288 ], + "Z": [ 927 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21030.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 926 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 288 ], + "Z": [ 928 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21030.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 928 ], + "BLUT": [ 927 ], + "C0": [ 929 ], + "Z": [ 930 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -31568,14 +31189,14 @@ "Z": "output" }, "connections": { - "A": [ 140 ], - "B": [ 141 ], - "C": [ 142 ], - "D": [ 145 ], - "Z": [ 997 ] + "A": [ 931 ], + "B": [ 932 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 935 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -31593,18 +31214,18 @@ "Z": "output" }, "connections": { - "A": [ 140 ], - "B": [ 141 ], - "C": [ 142 ], - "D": [ 145 ], - "Z": [ 998 ] + "A": [ 931 ], + "B": [ 932 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 936 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.lut2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65534 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -31618,18 +31239,18 @@ "Z": "output" }, "connections": { - "A": [ 140 ], - "B": [ 141 ], - "C": [ 142 ], - "D": [ 145 ], - "Z": [ 999 ] + "A": [ 931 ], + "B": [ 932 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 937 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65535 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -31643,18 +31264,18 @@ "Z": "output" }, "connections": { - "A": [ 140 ], - "B": [ 141 ], - "C": [ 142 ], - "D": [ 145 ], - "Z": [ 1000 ] + "A": [ 931 ], + "B": [ 932 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 938 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.lut4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.lut4": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65534 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -31668,18 +31289,18 @@ "Z": "output" }, "connections": { - "A": [ 140 ], - "B": [ 141 ], - "C": [ 142 ], - "D": [ 145 ], - "Z": [ 1001 ] + "A": [ 931 ], + "B": [ 932 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 939 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.lut5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.lut5": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65535 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -31693,14 +31314,14 @@ "Z": "output" }, "connections": { - "A": [ 140 ], - "B": [ 141 ], - "C": [ 142 ], - "D": [ 145 ], - "Z": [ 1002 ] + "A": [ 931 ], + "B": [ 932 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 940 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.lut6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.lut6": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -31718,14 +31339,14 @@ "Z": "output" }, "connections": { - "A": [ 140 ], - "B": [ 141 ], - "C": [ 142 ], - "D": [ 145 ], - "Z": [ 1003 ] + "A": [ 931 ], + "B": [ 932 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 941 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.lut7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.lut7": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -31743,14 +31364,14 @@ "Z": "output" }, "connections": { - "A": [ 140 ], - "B": [ 141 ], - "C": [ 142 ], - "D": [ 145 ], - "Z": [ 1004 ] + "A": [ 931 ], + "B": [ 932 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 942 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -31765,65 +31386,1123 @@ "C0": "input", "Z": "output" }, + "connections": { + "ALUT": [ 936 ], + "BLUT": [ 935 ], + "C0": [ 943 ], + "Z": [ 944 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 938 ], + "BLUT": [ 937 ], + "C0": [ 943 ], + "Z": [ 945 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 940 ], + "BLUT": [ 939 ], + "C0": [ 943 ], + "Z": [ 946 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 942 ], + "BLUT": [ 941 ], + "C0": [ 943 ], + "Z": [ 947 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 944 ], + "D1": [ 945 ], + "SD": [ 528 ], + "Z": [ 948 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 946 ], + "D1": [ 947 ], + "SD": [ 528 ], + "Z": [ 949 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 948 ], + "D1": [ 949 ], + "SD": [ 78 ], + "Z": [ 929 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21032": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 950 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 931 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21033": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 378 ], + "B": [ 951 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 932 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21034": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 952 ], + "B": [ 418 ], + "C": [ 953 ], + "D": [ 954 ], + "Z": [ 933 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21035": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 955 ], + "D": [ 239 ], + "Z": [ 954 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21036": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 956 ], + "Z": [ 953 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21037.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 957 ], + "C": [ 958 ], + "D": [ 237 ], + "Z": [ 959 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21037.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 957 ], + "C": [ 958 ], + "D": [ 237 ], + "Z": [ 960 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21037.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 960 ], + "BLUT": [ 959 ], + "C0": [ 961 ], + "Z": [ 934 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21038": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 962 ], + "Z": [ 961 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21039": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 289 ], + "C": [ 963 ], + "D": [ 121 ], + "Z": [ 943 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21040.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 964 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 283 ], + "Z": [ 965 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21040.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 964 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 283 ], + "Z": [ 966 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21040.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 966 ], + "BLUT": [ 965 ], + "C0": [ 967 ], + "Z": [ 968 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 969 ], + "B": [ 970 ], + "C": [ 971 ], + "D": [ 972 ], + "Z": [ 973 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 969 ], + "B": [ 970 ], + "C": [ 971 ], + "D": [ 972 ], + "Z": [ 974 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 969 ], + "B": [ 970 ], + "C": [ 971 ], + "D": [ 972 ], + "Z": [ 975 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 969 ], + "B": [ 970 ], + "C": [ 971 ], + "D": [ 972 ], + "Z": [ 976 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 969 ], + "B": [ 970 ], + "C": [ 971 ], + "D": [ 972 ], + "Z": [ 977 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 969 ], + "B": [ 970 ], + "C": [ 971 ], + "D": [ 972 ], + "Z": [ 978 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 969 ], + "B": [ 970 ], + "C": [ 971 ], + "D": [ 972 ], + "Z": [ 979 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 969 ], + "B": [ 970 ], + "C": [ 971 ], + "D": [ 972 ], + "Z": [ 980 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 974 ], + "BLUT": [ 973 ], + "C0": [ 981 ], + "Z": [ 982 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 976 ], + "BLUT": [ 975 ], + "C0": [ 981 ], + "Z": [ 983 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 978 ], + "BLUT": [ 977 ], + "C0": [ 981 ], + "Z": [ 984 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 980 ], + "BLUT": [ 979 ], + "C0": [ 981 ], + "Z": [ 985 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 982 ], + "D1": [ 983 ], + "SD": [ 528 ], + "Z": [ 986 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 984 ], + "D1": [ 985 ], + "SD": [ 528 ], + "Z": [ 987 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 986 ], + "D1": [ 987 ], + "SD": [ 78 ], + "Z": [ 967 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21042": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 988 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 969 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21043": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 237 ], + "B": [ 989 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 970 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21044": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 990 ], + "B": [ 418 ], + "C": [ 991 ], + "D": [ 992 ], + "Z": [ 971 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21045": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 993 ], + "D": [ 239 ], + "Z": [ 992 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21046": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 994 ], + "Z": [ 991 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21047.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 995 ], + "B": [ 378 ], + "C": [ 996 ], + "D": [ 244 ], + "Z": [ 997 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21047.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 995 ], + "B": [ 378 ], + "C": [ 996 ], + "D": [ 244 ], + "Z": [ 998 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21047.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, "connections": { "ALUT": [ 998 ], "BLUT": [ 997 ], - "C0": [ 139 ], - "Z": [ 1005 ] + "C0": [ 999 ], + "Z": [ 972 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.mux51": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21048": { "hide_name": 1, - "type": "PFUMX", + "type": "LUT4", "parameters": { + "INIT": 256 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", + "A": "input", + "B": "input", + "C": "input", + "D": "input", "Z": "output" }, "connections": { - "ALUT": [ 1000 ], - "BLUT": [ 999 ], - "C0": [ 139 ], - "Z": [ 1006 ] + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 1000 ], + "Z": [ 999 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.mux52": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21049": { "hide_name": 1, - "type": "PFUMX", + "type": "LUT4", "parameters": { + "INIT": 4027 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", + "A": "input", + "B": "input", + "C": "input", + "D": "input", "Z": "output" }, "connections": { - "ALUT": [ 1002 ], - "BLUT": [ 1001 ], - "C0": [ 139 ], - "Z": [ 1007 ] + "A": [ 4 ], + "B": [ 284 ], + "C": [ 1001 ], + "D": [ 121 ], + "Z": [ 981 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.mux53": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21050.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1002 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 279 ], + "Z": [ 1003 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21050.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1002 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 279 ], + "Z": [ 1004 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21050.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" }, "port_directions": { "ALUT": "input", @@ -31834,226 +32513,16 @@ "connections": { "ALUT": [ 1004 ], "BLUT": [ 1003 ], - "C0": [ 139 ], - "Z": [ 1008 ] + "C0": [ 1005 ], + "Z": [ 1006 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 1005 ], - "D1": [ 1006 ], - "SD": [ 34 ], - "Z": [ 1009 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 1007 ], - "D1": [ 1008 ], - "SD": [ 34 ], - "Z": [ 1010 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 1009 ], - "D1": [ 1010 ], - "SD": [ 36 ], - "Z": [ 988 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19663.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { "INIT": 0 }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 34 ], - "B": [ 36 ], - "C": [ 53 ], - "D": [ 54 ], - "Z": [ 1011 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19663.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 7 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 34 ], - "B": [ 36 ], - "C": [ 53 ], - "D": [ 54 ], - "Z": [ 1012 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19663.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1012 ], - "BLUT": [ 1011 ], - "C0": [ 46 ], - "Z": [ 993 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19664.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 59 ], - "B": [ 60 ], - "C": [ 1013 ], - "D": [ 959 ], - "Z": [ 1014 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19664.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32768 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 59 ], - "B": [ 60 ], - "C": [ 1013 ], - "D": [ 959 ], - "Z": [ 1015 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19664.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1015 ], - "BLUT": [ 1014 ], - "C0": [ 1016 ], - "Z": [ 982 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 31 - }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" @@ -32066,18 +32535,18 @@ "Z": "output" }, "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1017 ] + "A": [ 1007 ], + "B": [ 1008 ], + "C": [ 1009 ], + "D": [ 1010 ], + "Z": [ 1011 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -32091,14 +32560,14 @@ "Z": "output" }, "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1018 ] + "A": [ 1007 ], + "B": [ 1008 ], + "C": [ 1009 ], + "D": [ 1010 ], + "Z": [ 1012 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.lut2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -32116,14 +32585,14 @@ "Z": "output" }, "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1019 ] + "A": [ 1007 ], + "B": [ 1008 ], + "C": [ 1009 ], + "D": [ 1010 ], + "Z": [ 1013 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -32141,18 +32610,18 @@ "Z": "output" }, "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1020 ] + "A": [ 1007 ], + "B": [ 1008 ], + "C": [ 1009 ], + "D": [ 1010 ], + "Z": [ 1014 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.lut4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.lut4": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -32166,18 +32635,18 @@ "Z": "output" }, "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1021 ] + "A": [ 1007 ], + "B": [ 1008 ], + "C": [ 1009 ], + "D": [ 1010 ], + "Z": [ 1015 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.lut5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.lut5": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 4096 }, "attributes": { "module_not_derived": 1, @@ -32191,14 +32660,14 @@ "Z": "output" }, "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1022 ] + "A": [ 1007 ], + "B": [ 1008 ], + "C": [ 1009 ], + "D": [ 1010 ], + "Z": [ 1016 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.lut6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.lut6": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -32216,14 +32685,14 @@ "Z": "output" }, "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1023 ] + "A": [ 1007 ], + "B": [ 1008 ], + "C": [ 1009 ], + "D": [ 1010 ], + "Z": [ 1017 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.lut7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.lut7": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -32241,14 +32710,14 @@ "Z": "output" }, "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1024 ] + "A": [ 1007 ], + "B": [ 1008 ], + "C": [ 1009 ], + "D": [ 1010 ], + "Z": [ 1018 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -32264,13 +32733,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 1018 ], - "BLUT": [ 1017 ], - "C0": [ 85 ], - "Z": [ 1025 ] + "ALUT": [ 1012 ], + "BLUT": [ 1011 ], + "C0": [ 1019 ], + "Z": [ 1020 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.mux51": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -32286,13 +32755,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 1020 ], - "BLUT": [ 1019 ], - "C0": [ 85 ], - "Z": [ 1026 ] + "ALUT": [ 1014 ], + "BLUT": [ 1013 ], + "C0": [ 1019 ], + "Z": [ 1021 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.mux52": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.mux52": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -32308,13 +32777,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 1022 ], - "BLUT": [ 1021 ], - "C0": [ 85 ], - "Z": [ 1027 ] + "ALUT": [ 1016 ], + "BLUT": [ 1015 ], + "C0": [ 1019 ], + "Z": [ 1022 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.mux53": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.mux53": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -32330,13 +32799,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 1024 ], - "BLUT": [ 1023 ], - "C0": [ 85 ], - "Z": [ 1028 ] + "ALUT": [ 1018 ], + "BLUT": [ 1017 ], + "C0": [ 1019 ], + "Z": [ 1023 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.mux60": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.mux60": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -32352,13 +32821,13 @@ "Z": "output" }, "connections": { - "D0": [ 1025 ], - "D1": [ 1026 ], - "SD": [ 52 ], - "Z": [ 1029 ] + "D0": [ 1020 ], + "D1": [ 1021 ], + "SD": [ 528 ], + "Z": [ 1024 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.mux61": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.mux61": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -32374,13 +32843,13 @@ "Z": "output" }, "connections": { - "D0": [ 1027 ], - "D1": [ 1028 ], - "SD": [ 52 ], - "Z": [ 1030 ] + "D0": [ 1022 ], + "D1": [ 1023 ], + "SD": [ 528 ], + "Z": [ 1025 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.mux7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.mux7": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -32396,13 +32865,210 @@ "Z": "output" }, "connections": { - "D0": [ 1029 ], - "D1": [ 1030 ], - "SD": [ 37 ], - "Z": [ 1013 ] + "D0": [ 1024 ], + "D1": [ 1025 ], + "SD": [ 78 ], + "Z": [ 1005 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19666": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21052": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 1026 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1007 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21053": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 237 ], + "B": [ 1027 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1008 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21054": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1028 ], + "B": [ 418 ], + "C": [ 1029 ], + "D": [ 1030 ], + "Z": [ 1009 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21055": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 1031 ], + "D": [ 239 ], + "Z": [ 1030 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21056": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 1032 ], + "Z": [ 1029 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21057.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1033 ], + "B": [ 378 ], + "C": [ 1034 ], + "D": [ 244 ], + "Z": [ 1035 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21057.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1033 ], + "B": [ 378 ], + "C": [ 1034 ], + "D": [ 244 ], + "Z": [ 1036 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21057.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1036 ], + "BLUT": [ 1035 ], + "C0": [ 1037 ], + "Z": [ 1010 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21058": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -32420,18 +33086,43 @@ "Z": "output" }, "connections": { - "A": [ 97 ], - "B": [ 98 ], - "C": [ 64 ], - "D": [ 63 ], - "Z": [ 1016 ] + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 1038 ], + "Z": [ 1037 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19667.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21059": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 280 ], + "C": [ 1039 ], + "D": [ 121 ], + "Z": [ 1019 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21060.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 }, "attributes": { "module_not_derived": 1, @@ -32445,18 +33136,18 @@ "Z": "output" }, "connections": { - "A": [ 85 ], - "B": [ 126 ], - "C": [ 959 ], - "D": [ 125 ], - "Z": [ 1031 ] + "A": [ 1040 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 275 ], + "Z": [ 1041 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19667.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21060.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 16384 + "INIT": 0 }, "attributes": { "module_not_derived": 1, @@ -32470,14 +33161,14 @@ "Z": "output" }, "connections": { - "A": [ 85 ], - "B": [ 126 ], - "C": [ 959 ], - "D": [ 125 ], - "Z": [ 1032 ] + "A": [ 1040 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 275 ], + "Z": [ 1042 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19667.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21060.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -32493,21 +33184,21 @@ "Z": "output" }, "connections": { - "ALUT": [ 1032 ], - "BLUT": [ 1031 ], - "C0": [ 97 ], - "Z": [ 983 ] + "ALUT": [ 1042 ], + "BLUT": [ 1041 ], + "C0": [ 1043 ], + "Z": [ 1044 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19668": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 13 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" }, "port_directions": { "A": "input", @@ -32517,19 +33208,1512 @@ "Z": "output" }, "connections": { - "A": [ 130 ], - "B": [ 106 ], - "C": [ 88 ], - "D": [ "0" ], - "Z": [ 984 ] + "A": [ 1045 ], + "B": [ 1046 ], + "C": [ 1047 ], + "D": [ 1048 ], + "Z": [ 1049 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.lut0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1045 ], + "B": [ 1046 ], + "C": [ 1047 ], + "D": [ 1048 ], + "Z": [ 1050 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { "INIT": 0 }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1045 ], + "B": [ 1046 ], + "C": [ 1047 ], + "D": [ 1048 ], + "Z": [ 1051 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1045 ], + "B": [ 1046 ], + "C": [ 1047 ], + "D": [ 1048 ], + "Z": [ 1052 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1045 ], + "B": [ 1046 ], + "C": [ 1047 ], + "D": [ 1048 ], + "Z": [ 1053 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1045 ], + "B": [ 1046 ], + "C": [ 1047 ], + "D": [ 1048 ], + "Z": [ 1054 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1045 ], + "B": [ 1046 ], + "C": [ 1047 ], + "D": [ 1048 ], + "Z": [ 1055 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1045 ], + "B": [ 1046 ], + "C": [ 1047 ], + "D": [ 1048 ], + "Z": [ 1056 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1050 ], + "BLUT": [ 1049 ], + "C0": [ 1057 ], + "Z": [ 1058 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1052 ], + "BLUT": [ 1051 ], + "C0": [ 1057 ], + "Z": [ 1059 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1054 ], + "BLUT": [ 1053 ], + "C0": [ 1057 ], + "Z": [ 1060 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1056 ], + "BLUT": [ 1055 ], + "C0": [ 1057 ], + "Z": [ 1061 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1058 ], + "D1": [ 1059 ], + "SD": [ 528 ], + "Z": [ 1062 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1060 ], + "D1": [ 1061 ], + "SD": [ 528 ], + "Z": [ 1063 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1062 ], + "D1": [ 1063 ], + "SD": [ 78 ], + "Z": [ 1043 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21062": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 1064 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1045 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21063": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 1065 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1046 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21064": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1066 ], + "B": [ 451 ], + "C": [ 1067 ], + "D": [ 1068 ], + "Z": [ 1047 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21065": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 1069 ], + "D": [ 239 ], + "Z": [ 1068 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21066": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 1070 ], + "C": [ 239 ], + "D": [ 241 ], + "Z": [ 1067 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21067.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 418 ], + "B": [ 1071 ], + "C": [ 1072 ], + "D": [ 237 ], + "Z": [ 1073 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21067.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 418 ], + "B": [ 1071 ], + "C": [ 1072 ], + "D": [ 237 ], + "Z": [ 1074 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21067.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1074 ], + "BLUT": [ 1073 ], + "C0": [ 1075 ], + "Z": [ 1048 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21068": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 1076 ], + "Z": [ 1075 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21069": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 276 ], + "C": [ 1077 ], + "D": [ 121 ], + "Z": [ 1057 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21070.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 47883 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1078 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 268 ], + "Z": [ 1079 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21070.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1078 ], + "B": [ 506 ], + "C": [ 231 ], + "D": [ 268 ], + "Z": [ 1080 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21070.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1080 ], + "BLUT": [ 1079 ], + "C0": [ 1081 ], + "Z": [ 1082 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1083 ], + "B": [ 1084 ], + "C": [ 1085 ], + "D": [ 1086 ], + "Z": [ 1087 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1083 ], + "B": [ 1084 ], + "C": [ 1085 ], + "D": [ 1086 ], + "Z": [ 1088 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1083 ], + "B": [ 1084 ], + "C": [ 1085 ], + "D": [ 1086 ], + "Z": [ 1089 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1083 ], + "B": [ 1084 ], + "C": [ 1085 ], + "D": [ 1086 ], + "Z": [ 1090 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1083 ], + "B": [ 1084 ], + "C": [ 1085 ], + "D": [ 1086 ], + "Z": [ 1091 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1083 ], + "B": [ 1084 ], + "C": [ 1085 ], + "D": [ 1086 ], + "Z": [ 1092 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1083 ], + "B": [ 1084 ], + "C": [ 1085 ], + "D": [ 1086 ], + "Z": [ 1093 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1083 ], + "B": [ 1084 ], + "C": [ 1085 ], + "D": [ 1086 ], + "Z": [ 1094 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1088 ], + "BLUT": [ 1087 ], + "C0": [ 1095 ], + "Z": [ 1096 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1090 ], + "BLUT": [ 1089 ], + "C0": [ 1095 ], + "Z": [ 1097 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1092 ], + "BLUT": [ 1091 ], + "C0": [ 1095 ], + "Z": [ 1098 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1094 ], + "BLUT": [ 1093 ], + "C0": [ 1095 ], + "Z": [ 1099 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1096 ], + "D1": [ 1097 ], + "SD": [ 528 ], + "Z": [ 1100 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1098 ], + "D1": [ 1099 ], + "SD": [ 528 ], + "Z": [ 1101 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1100 ], + "D1": [ 1101 ], + "SD": [ 78 ], + "Z": [ 1081 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21072": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 242 ], + "B": [ 1102 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1083 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21073": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 378 ], + "B": [ 1103 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1084 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21074": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1104 ], + "B": [ 418 ], + "C": [ 1105 ], + "D": [ 1106 ], + "Z": [ 1085 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21075": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 1107 ], + "D": [ 239 ], + "Z": [ 1106 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21076": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 241 ], + "C": [ 240 ], + "D": [ 1108 ], + "Z": [ 1105 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21077.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 1109 ], + "C": [ 1110 ], + "D": [ 237 ], + "Z": [ 1111 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21077.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 1109 ], + "C": [ 1110 ], + "D": [ 237 ], + "Z": [ 1112 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21077.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1112 ], + "BLUT": [ 1111 ], + "C0": [ 1113 ], + "Z": [ 1086 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21078": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 240 ], + "C": [ 241 ], + "D": [ 1114 ], + "Z": [ 1113 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21079": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 269 ], + "C": [ 1115 ], + "D": [ 121 ], + "Z": [ 1095 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21080.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4010 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1116 ], + "B": [ 171 ], + "C": [ 1117 ], + "D": [ 246 ], + "Z": [ 1118 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21080.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3276 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1116 ], + "B": [ 171 ], + "C": [ 1117 ], + "D": [ 246 ], + "Z": [ 1119 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21080.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1119 ], + "BLUT": [ 1118 ], + "C0": [ 1120 ], + "Z": [ 1121 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21081": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 65 ], + "B": [ 24 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1120 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21082": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 65 ], + "B": [ 172 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1117 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21083": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61678 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 246 ], + "B": [ 1122 ], + "C": [ 170 ], + "D": [ 1120 ], + "Z": [ 1123 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21084": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61678 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 246 ], + "B": [ 1124 ], + "C": [ 173 ], + "D": [ 1120 ], + "Z": [ 1125 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21085.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3788 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 79 ], + "B": [ 1126 ], + "C": [ 65 ], + "D": [ 24 ], + "Z": [ 1127 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21085.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62668 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 79 ], + "B": [ 1126 ], + "C": [ 65 ], + "D": [ 24 ], + "Z": [ 1128 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21085.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1128 ], + "BLUT": [ 1127 ], + "C0": [ 172 ], + "Z": [ 1129 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" @@ -32542,18 +34726,18 @@ "Z": "output" }, "connections": { - "A": [ 157 ], - "B": [ 120 ], - "C": [ 158 ], - "D": [ 1033 ], - "Z": [ 1034 ] + "A": [ 1130 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1131 ], + "Z": [ 1132 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 4095 }, "attributes": { "module_not_derived": 1, @@ -32567,18 +34751,18 @@ "Z": "output" }, "connections": { - "A": [ 157 ], - "B": [ 120 ], - "C": [ 158 ], - "D": [ 1033 ], - "Z": [ 1035 ] + "A": [ 1130 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1131 ], + "Z": [ 1133 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.lut2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65408 + "INIT": 2184 }, "attributes": { "module_not_derived": 1, @@ -32592,18 +34776,18 @@ "Z": "output" }, "connections": { - "A": [ 157 ], - "B": [ 120 ], - "C": [ 158 ], - "D": [ 1033 ], - "Z": [ 1036 ] + "A": [ 1130 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1131 ], + "Z": [ 1134 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.lut3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65535 + "INIT": 204 }, "attributes": { "module_not_derived": 1, @@ -32617,14 +34801,14 @@ "Z": "output" }, "connections": { - "A": [ 157 ], - "B": [ 120 ], - "C": [ 158 ], - "D": [ 1033 ], - "Z": [ 1037 ] + "A": [ 1130 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1131 ], + "Z": [ 1135 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.mux50": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -32640,13 +34824,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 1035 ], - "BLUT": [ 1034 ], - "C0": [ 1038 ], - "Z": [ 1039 ] + "ALUT": [ 1133 ], + "BLUT": [ 1132 ], + "C0": [ 1136 ], + "Z": [ 1137 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.mux51": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -32662,13 +34846,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 1037 ], - "BLUT": [ 1036 ], - "C0": [ 1038 ], - "Z": [ 1040 ] + "ALUT": [ 1135 ], + "BLUT": [ 1134 ], + "C0": [ 1136 ], + "Z": [ 1138 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.mux6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.mux6": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -32684,92 +34868,17 @@ "Z": "output" }, "connections": { - "D0": [ 1039 ], - "D1": [ 1040 ], - "SD": [ 1041 ], - "Z": [ 947 ] + "D0": [ 1137 ], + "D1": [ 1138 ], + "SD": [ 1139 ], + "Z": [ 1140 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19670": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21087.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1042 ], - "B": [ 4 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1041 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19671": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 51 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1042 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19672": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65024 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 137 ], - "B": [ 140 ], - "C": [ 145 ], - "D": [ 105 ], - "Z": [ 1038 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19673.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 + "INIT": 65450 }, "attributes": { "module_not_derived": 1, @@ -32783,18 +34892,18 @@ "Z": "output" }, "connections": { - "A": [ 1013 ], - "B": [ 959 ], - "C": [ 1043 ], - "D": [ 1044 ], - "Z": [ 1045 ] + "A": [ 1141 ], + "B": [ 1130 ], + "C": [ 1136 ], + "D": [ 1142 ], + "Z": [ 1143 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19673.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21087.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 32768 + "INIT": 62211 }, "attributes": { "module_not_derived": 1, @@ -32808,14 +34917,14 @@ "Z": "output" }, "connections": { - "A": [ 1013 ], - "B": [ 959 ], - "C": [ 1043 ], - "D": [ 1044 ], - "Z": [ 1046 ] + "A": [ 1141 ], + "B": [ 1130 ], + "C": [ 1136 ], + "D": [ 1142 ], + "Z": [ 1144 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19673.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21087.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -32831,2112 +34940,21 @@ "Z": "output" }, "connections": { - "ALUT": [ 1046 ], - "BLUT": [ 1045 ], - "C0": [ 1047 ], - "Z": [ 1033 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19674": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 97 ], - "B": [ 98 ], - "C": [ 64 ], - "D": [ "0" ], - "Z": [ 1043 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19675": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 115 ], - "B": [ 99 ], - "C": [ 63 ], - "D": [ 73 ], - "Z": [ 1044 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19676": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 112 ], - "B": [ 107 ], - "C": [ 33 ], - "D": [ "0" ], - "Z": [ 1047 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19677": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3584 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1048 ], - "B": [ 1049 ], - "C": [ 107 ], - "D": [ 112 ], - "Z": [ 948 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19678": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 11 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 137 ], - "B": [ 138 ], - "C": [ 32 ], - "D": [ 115 ], - "Z": [ 1049 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19679.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 847 ], - "B": [ 959 ], - "C": [ 1043 ], - "D": [ 1044 ], - "Z": [ 1050 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19679.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 847 ], - "B": [ 959 ], - "C": [ 1043 ], - "D": [ 1044 ], - "Z": [ 1051 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19679.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1051 ], - "BLUT": [ 1050 ], - "C0": [ 1013 ], - "Z": [ 1048 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19680": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1052 ], - "B": [ 847 ], - "C": [ 959 ], - "D": [ 1013 ], - "Z": [ 949 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19681.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32639 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 59 ], - "B": [ 848 ], - "C": [ 60 ], - "D": [ 1053 ], - "Z": [ 1054 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19681.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 127 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 59 ], - "B": [ 848 ], - "C": [ 60 ], - "D": [ 1053 ], - "Z": [ 1055 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19681.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1055 ], - "BLUT": [ 1054 ], - "C0": [ 1043 ], - "Z": [ 1052 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19682": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 99 ], - "B": [ 63 ], - "C": [ 73 ], - "D": [ 115 ], - "Z": [ 1053 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19683": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 286 ], - "B": [ 1056 ], - "C": [ 1057 ], - "D": [ "0" ], - "Z": [ 957 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19684.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 130 ], - "B": [ 106 ], - "C": [ 988 ], - "D": [ 328 ], - "Z": [ 1058 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19684.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65528 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 130 ], - "B": [ 106 ], - "C": [ 988 ], - "D": [ 328 ], - "Z": [ 1059 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19684.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1059 ], - "BLUT": [ 1058 ], - "C0": [ 32 ], - "Z": [ 1056 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19685": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 244 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 996 ], - "B": [ 964 ], - "C": [ 1060 ], - "D": [ 138 ], - "Z": [ 1057 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19686": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 36 ], - "B": [ 34 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1060 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19687": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 44236 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1061 ], - "B": [ 1062 ], - "C": [ 36 ], - "D": [ 53 ], - "Z": [ 1063 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19688": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61678 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 166 ], - "C": [ 1064 ], - "D": [ 112 ], - "Z": [ 1062 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19689": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 44236 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1065 ], - "B": [ 1066 ], - "C": [ 36 ], - "D": [ 53 ], - "Z": [ 1067 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19690": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61678 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 164 ], - "C": [ 1068 ], - "D": [ 112 ], - "Z": [ 1066 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19691": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 44236 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1069 ], - "B": [ 1070 ], - "C": [ 36 ], - "D": [ 53 ], - "Z": [ 1071 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19692": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61678 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 165 ], - "C": [ 1072 ], - "D": [ 112 ], - "Z": [ 1070 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19693": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1073 ], - "B": [ 96 ], - "C": [ 1074 ], - "D": [ 37 ], - "Z": [ 1075 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19694": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 31 ], - "B": [ 1076 ], - "C": [ 41 ], - "D": [ "0" ], - "Z": [ 1074 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19695": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 42 ], - "B": [ 56 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1076 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19696": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 285 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1073 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19697": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 248 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1073 ], - "B": [ 86 ], - "C": [ 1077 ], - "D": [ "0" ], - "Z": [ 1078 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19698": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 996 ], - "B": [ 1079 ], - "C": [ 33 ], - "D": [ 37 ], - "Z": [ 1077 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19699": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1060 ], - "B": [ 41 ], - "C": [ 1080 ], - "D": [ 42 ], - "Z": [ 1079 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19700": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 43 ], - "B": [ 44 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1080 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19701": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 187 ], - "B": [ 188 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1081 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19702": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 261 ], - "D": [ "0" ], - "Z": [ 1082 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19703": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 253 ], - "D": [ "0" ], - "Z": [ 1083 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19704": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 244 ], - "D": [ "0" ], - "Z": [ 1084 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19705": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 265 ], - "D": [ "0" ], - "Z": [ 1085 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19706": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 257 ], - "D": [ "0" ], - "Z": [ 1086 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19707": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 249 ], - "D": [ "0" ], - "Z": [ 1087 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19708": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 239 ], - "D": [ "0" ], - "Z": [ 1088 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19709": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 234 ], - "D": [ "0" ], - "Z": [ 1089 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19710": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 229 ], - "D": [ "0" ], - "Z": [ 1090 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19711": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 225 ], - "D": [ "0" ], - "Z": [ 1091 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19712": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 221 ], - "D": [ "0" ], - "Z": [ 1092 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19713": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 216 ], - "D": [ "0" ], - "Z": [ 1093 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19714": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 211 ], - "D": [ "0" ], - "Z": [ 1094 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19715": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 207 ], - "D": [ "0" ], - "Z": [ 1095 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19716": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 203 ], - "D": [ "0" ], - "Z": [ 1096 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19717": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 341 ], - "C": [ 197 ], - "D": [ "0" ], - "Z": [ 1097 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19718": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1073 ], - "B": [ 93 ], - "C": [ 1098 ], - "D": [ 37 ], - "Z": [ 1099 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19719": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 41 ], - "B": [ 1076 ], - "C": [ 31 ], - "D": [ "0" ], - "Z": [ 1098 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19720": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 261 ], - "D": [ "0" ], - "Z": [ 1100 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19721": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 253 ], - "D": [ "0" ], - "Z": [ 1101 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19722": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 244 ], - "D": [ "0" ], - "Z": [ 1102 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19723": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 265 ], - "D": [ "0" ], - "Z": [ 1103 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19724": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 257 ], - "D": [ "0" ], - "Z": [ 1104 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19725": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 249 ], - "D": [ "0" ], - "Z": [ 1105 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19726": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 239 ], - "D": [ "0" ], - "Z": [ 1106 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19727": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 234 ], - "D": [ "0" ], - "Z": [ 1107 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19728": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 229 ], - "D": [ "0" ], - "Z": [ 1108 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19729": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 225 ], - "D": [ "0" ], - "Z": [ 1109 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19730": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 221 ], - "D": [ "0" ], - "Z": [ 1110 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19731": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 216 ], - "D": [ "0" ], - "Z": [ 1111 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19732": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 211 ], - "D": [ "0" ], - "Z": [ 1112 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19733": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 207 ], - "D": [ "0" ], - "Z": [ 1113 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19734": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 203 ], - "D": [ "0" ], - "Z": [ 1114 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19735": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 162 ], - "C": [ 197 ], - "D": [ "0" ], - "Z": [ 1115 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19736": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 261 ], - "D": [ "0" ], - "Z": [ 1116 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19737": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 253 ], - "D": [ "0" ], - "Z": [ 1117 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19738": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 244 ], - "D": [ "0" ], - "Z": [ 1118 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19739": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 265 ], - "D": [ "0" ], - "Z": [ 1119 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19740": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 257 ], - "D": [ "0" ], - "Z": [ 1120 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19741": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 249 ], - "D": [ "0" ], - "Z": [ 1121 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19742": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 239 ], - "D": [ "0" ], - "Z": [ 1122 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19743": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 234 ], - "D": [ "0" ], - "Z": [ 1123 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19744": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 229 ], - "D": [ "0" ], - "Z": [ 1124 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19745": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 225 ], - "D": [ "0" ], - "Z": [ 1125 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19746": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 221 ], - "D": [ "0" ], - "Z": [ 1126 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19747": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 216 ], - "D": [ "0" ], - "Z": [ 1127 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19748": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 211 ], - "D": [ "0" ], - "Z": [ 1128 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19749": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 207 ], - "D": [ "0" ], - "Z": [ 1129 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19750": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 203 ], - "D": [ "0" ], - "Z": [ 1130 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19751": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 314 ], - "C": [ 197 ], - "D": [ "0" ], + "ALUT": [ 1144 ], + "BLUT": [ 1143 ], + "C0": [ 1145 ], "Z": [ 1131 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19752": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21088": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 128 + "INIT": 8 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" }, "port_directions": { "A": "input", @@ -34946,122 +34964,22 @@ "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 261 ], - "D": [ "0" ], - "Z": [ 1132 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19753": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 253 ], - "D": [ "0" ], - "Z": [ 1133 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19754": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 244 ], - "D": [ "0" ], - "Z": [ 1134 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19755": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 265 ], - "D": [ "0" ], - "Z": [ 1135 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19756": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 257 ], + "A": [ 101 ], + "B": [ 100 ], + "C": [ "0" ], "D": [ "0" ], "Z": [ 1136 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19757": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 128 + "INIT": 62965 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" }, "port_directions": { "A": "input", @@ -35071,297 +34989,22 @@ "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 249 ], - "D": [ "0" ], - "Z": [ 1137 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19758": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 239 ], - "D": [ "0" ], - "Z": [ 1138 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19759": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 234 ], - "D": [ "0" ], - "Z": [ 1139 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19760": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 229 ], - "D": [ "0" ], - "Z": [ 1140 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19761": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 225 ], - "D": [ "0" ], - "Z": [ 1141 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19762": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 221 ], - "D": [ "0" ], - "Z": [ 1142 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19763": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 216 ], - "D": [ "0" ], - "Z": [ 1143 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19764": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 211 ], - "D": [ "0" ], - "Z": [ 1144 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19765": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 207 ], - "D": [ "0" ], - "Z": [ 1145 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19766": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 203 ], - "D": [ "0" ], - "Z": [ 1146 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19767": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 321 ], - "C": [ 197 ], - "D": [ "0" ], - "Z": [ 1147 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19768": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 261 ], - "D": [ "0" ], + "A": [ 1146 ], + "B": [ 1130 ], + "C": [ 1147 ], + "D": [ 101 ], "Z": [ 1148 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19769": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 128 + "INIT": 62965 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" }, "port_directions": { "A": "input", @@ -35371,22 +35014,22 @@ "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 253 ], - "D": [ "0" ], + "A": [ 1146 ], + "B": [ 1130 ], + "C": [ 1147 ], + "D": [ 101 ], "Z": [ 1149 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19770": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 128 + "INIT": 12593 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" }, "port_directions": { "A": "input", @@ -35396,22 +35039,22 @@ "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 244 ], - "D": [ "0" ], + "A": [ 1146 ], + "B": [ 1130 ], + "C": [ 1147 ], + "D": [ 101 ], "Z": [ 1150 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19771": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 128 + "INIT": 61489 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" }, "port_directions": { "A": "input", @@ -35421,1168 +35064,84 @@ "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 265 ], - "D": [ "0" ], + "A": [ 1146 ], + "B": [ 1130 ], + "C": [ 1147 ], + "D": [ 101 ], "Z": [ 1151 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19772": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.mux50": { "hide_name": 1, - "type": "LUT4", + "type": "PFUMX", "parameters": { - "INIT": 128 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" }, "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", + "ALUT": "input", + "BLUT": "input", + "C0": "input", "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 257 ], - "D": [ "0" ], + "ALUT": [ 1149 ], + "BLUT": [ 1148 ], + "C0": [ 100 ], "Z": [ 1152 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19773": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.mux51": { "hide_name": 1, - "type": "LUT4", + "type": "PFUMX", "parameters": { - "INIT": 128 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" }, "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", + "ALUT": "input", + "BLUT": "input", + "C0": "input", "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 249 ], - "D": [ "0" ], + "ALUT": [ 1151 ], + "BLUT": [ 1150 ], + "C0": [ 100 ], "Z": [ 1153 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19774": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.mux6": { "hide_name": 1, - "type": "LUT4", + "type": "L6MUX21", "parameters": { - "INIT": 128 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" }, "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", + "D0": "input", + "D1": "input", + "SD": "input", "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 239 ], - "D": [ "0" ], - "Z": [ 1154 ] + "D0": [ 1152 ], + "D1": [ 1153 ], + "SD": [ 471 ], + "Z": [ 1142 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19775": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21090.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 234 ], - "D": [ "0" ], - "Z": [ 1155 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19776": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 229 ], - "D": [ "0" ], - "Z": [ 1156 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19777": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 225 ], - "D": [ "0" ], - "Z": [ 1157 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19778": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 221 ], - "D": [ "0" ], - "Z": [ 1158 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19779": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 216 ], - "D": [ "0" ], - "Z": [ 1159 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19780": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 211 ], - "D": [ "0" ], - "Z": [ 1160 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19781": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 207 ], - "D": [ "0" ], - "Z": [ 1161 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19782": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 203 ], - "D": [ "0" ], - "Z": [ 1162 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19783": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 316 ], - "C": [ 197 ], - "D": [ "0" ], - "Z": [ 1163 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19784": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 261 ], - "D": [ "0" ], - "Z": [ 1164 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19785": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 253 ], - "D": [ "0" ], - "Z": [ 1165 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19786": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 244 ], - "D": [ "0" ], - "Z": [ 1166 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19787": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 265 ], - "D": [ "0" ], - "Z": [ 1167 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19788": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 257 ], - "D": [ "0" ], - "Z": [ 1168 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19789": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 249 ], - "D": [ "0" ], - "Z": [ 1169 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19790": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 239 ], - "D": [ "0" ], - "Z": [ 1170 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19791": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 234 ], - "D": [ "0" ], - "Z": [ 1171 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19792": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 229 ], - "D": [ "0" ], - "Z": [ 1172 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19793": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 225 ], - "D": [ "0" ], - "Z": [ 1173 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19794": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 221 ], - "D": [ "0" ], - "Z": [ 1174 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19795": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 216 ], - "D": [ "0" ], - "Z": [ 1175 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19796": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 211 ], - "D": [ "0" ], - "Z": [ 1176 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19797": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 207 ], - "D": [ "0" ], - "Z": [ 1177 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19798": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 203 ], - "D": [ "0" ], - "Z": [ 1178 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19799": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 169 ], - "C": [ 197 ], - "D": [ "0" ], - "Z": [ 1179 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19800": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63743 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1180 ], - "B": [ 1181 ], - "C": [ 1182 ], - "D": [ 1183 ], - "Z": [ 1184 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19801": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1185 ], - "B": [ 1181 ], - "C": [ 1186 ], - "D": [ 1187 ], - "Z": [ 1182 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19802": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1186 ], - "B": [ 1188 ], - "C": [ 1185 ], - "D": [ 1189 ], - "Z": [ 1183 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19803": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63743 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1190 ], - "B": [ 1181 ], - "C": [ 1191 ], - "D": [ 1192 ], - "Z": [ 1193 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19804": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1185 ], - "B": [ 1181 ], - "C": [ 1186 ], - "D": [ 1194 ], - "Z": [ 1191 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19805": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1186 ], - "B": [ 1195 ], - "C": [ 1185 ], - "D": [ 1196 ], - "Z": [ 1192 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19806": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63743 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1197 ], - "B": [ 1181 ], - "C": [ 1198 ], - "D": [ 1199 ], - "Z": [ 1200 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19807": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1185 ], - "B": [ 1181 ], - "C": [ 1186 ], - "D": [ 1201 ], - "Z": [ 1198 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19808": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1186 ], - "B": [ 1202 ], - "C": [ 1185 ], - "D": [ 1203 ], - "Z": [ 1199 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19809": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63743 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1204 ], - "B": [ 1181 ], - "C": [ 1205 ], - "D": [ 1206 ], - "Z": [ 1207 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19810": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1185 ], - "B": [ 1181 ], - "C": [ 1186 ], - "D": [ 1208 ], - "Z": [ 1205 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19811": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1186 ], - "B": [ 1209 ], - "C": [ 1185 ], - "D": [ 1210 ], - "Z": [ 1206 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19812": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65504 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 112 ], - "C": [ 321 ], - "D": [ 4 ], - "Z": [ 1211 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19813": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 847 ], - "B": [ 52 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1212 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19814": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65504 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 112 ], - "C": [ 316 ], - "D": [ 4 ], - "Z": [ 1213 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19815": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 248 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 31 ], - "B": [ 98 ], - "C": [ 1214 ], - "D": [ "0" ], - "Z": [ 1215 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19816": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 28672 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 100 ], - "C": [ 285 ], - "D": [ 64 ], - "Z": [ 1214 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19817": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 143 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1216 ], - "B": [ 137 ], - "C": [ 1217 ], - "D": [ "0" ], - "Z": [ 1218 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19818.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 49087 + "INIT": 53007 }, "attributes": { "module_not_derived": 1, @@ -36596,18 +35155,18 @@ "Z": "output" }, "connections": { - "A": [ 1219 ], - "B": [ 100 ], - "C": [ 33 ], - "D": [ 810 ], - "Z": [ 1220 ] + "A": [ 1130 ], + "B": [ 133 ], + "C": [ 129 ], + "D": [ 117 ], + "Z": [ 1154 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19818.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21090.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 191 + "INIT": 21775 }, "attributes": { "module_not_derived": 1, @@ -36621,14 +35180,1592 @@ "Z": "output" }, "connections": { - "A": [ 1219 ], - "B": [ 100 ], - "C": [ 33 ], - "D": [ 810 ], + "A": [ 1130 ], + "B": [ 133 ], + "C": [ 129 ], + "D": [ 117 ], + "Z": [ 1155 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21090.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1155 ], + "BLUT": [ 1154 ], + "C0": [ 112 ], + "Z": [ 1147 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21091": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 31 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 32 ], + "C": [ 75 ], + "D": [ "0" ], + "Z": [ 1146 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21092": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 73 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1145 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21093": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 74 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1141 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21094": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 254 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1147 ], + "B": [ 24 ], + "C": [ 32 ], + "D": [ 531 ], + "Z": [ 1139 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1156 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1157 ], + "Z": [ 1158 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1156 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1157 ], + "Z": [ 1159 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 2184 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1156 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1157 ], + "Z": [ 1160 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 204 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1156 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1157 ], + "Z": [ 1161 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1159 ], + "BLUT": [ 1158 ], + "C0": [ 1136 ], + "Z": [ 1162 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1161 ], + "BLUT": [ 1160 ], + "C0": [ 1136 ], + "Z": [ 1163 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1162 ], + "D1": [ 1163 ], + "SD": [ 1164 ], + "Z": [ 1165 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21096.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65450 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1141 ], + "B": [ 1156 ], + "C": [ 1136 ], + "D": [ 1166 ], + "Z": [ 1167 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21096.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62211 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1141 ], + "B": [ 1156 ], + "C": [ 1136 ], + "D": [ 1166 ], + "Z": [ 1168 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21096.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1168 ], + "BLUT": [ 1167 ], + "C0": [ 1145 ], + "Z": [ 1157 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62965 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1156 ], + "C": [ 1169 ], + "D": [ 101 ], + "Z": [ 1170 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62965 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1156 ], + "C": [ 1169 ], + "D": [ 101 ], + "Z": [ 1171 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 12593 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1156 ], + "C": [ 1169 ], + "D": [ 101 ], + "Z": [ 1172 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61489 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1156 ], + "C": [ 1169 ], + "D": [ 101 ], + "Z": [ 1173 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1171 ], + "BLUT": [ 1170 ], + "C0": [ 100 ], + "Z": [ 1174 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1173 ], + "BLUT": [ 1172 ], + "C0": [ 100 ], + "Z": [ 1175 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1174 ], + "D1": [ 1175 ], + "SD": [ 471 ], + "Z": [ 1166 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21098.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53007 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1156 ], + "B": [ 133 ], + "C": [ 131 ], + "D": [ 117 ], + "Z": [ 1176 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21098.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 21775 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1156 ], + "B": [ 133 ], + "C": [ 131 ], + "D": [ 117 ], + "Z": [ 1177 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21098.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1177 ], + "BLUT": [ 1176 ], + "C0": [ 112 ], + "Z": [ 1169 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21099": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 254 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1169 ], + "B": [ 24 ], + "C": [ 32 ], + "D": [ 531 ], + "Z": [ 1164 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1178 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1179 ], + "Z": [ 1180 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1178 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1179 ], + "Z": [ 1181 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 2184 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1178 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1179 ], + "Z": [ 1182 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 204 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1178 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1179 ], + "Z": [ 1183 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1181 ], + "BLUT": [ 1180 ], + "C0": [ 1136 ], + "Z": [ 1184 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1183 ], + "BLUT": [ 1182 ], + "C0": [ 1136 ], + "Z": [ 1185 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1184 ], + "D1": [ 1185 ], + "SD": [ 1186 ], + "Z": [ 1187 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21101.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65450 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1141 ], + "B": [ 1178 ], + "C": [ 1136 ], + "D": [ 1188 ], + "Z": [ 1189 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21101.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62211 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1141 ], + "B": [ 1178 ], + "C": [ 1136 ], + "D": [ 1188 ], + "Z": [ 1190 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21101.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1190 ], + "BLUT": [ 1189 ], + "C0": [ 1145 ], + "Z": [ 1179 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62965 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1178 ], + "C": [ 1191 ], + "D": [ 101 ], + "Z": [ 1192 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62965 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1178 ], + "C": [ 1191 ], + "D": [ 101 ], + "Z": [ 1193 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 12593 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1178 ], + "C": [ 1191 ], + "D": [ 101 ], + "Z": [ 1194 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61489 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1178 ], + "C": [ 1191 ], + "D": [ 101 ], + "Z": [ 1195 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1193 ], + "BLUT": [ 1192 ], + "C0": [ 100 ], + "Z": [ 1196 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1195 ], + "BLUT": [ 1194 ], + "C0": [ 100 ], + "Z": [ 1197 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1196 ], + "D1": [ 1197 ], + "SD": [ 471 ], + "Z": [ 1188 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21103.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53007 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1178 ], + "B": [ 133 ], + "C": [ 125 ], + "D": [ 117 ], + "Z": [ 1198 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21103.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 21775 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1178 ], + "B": [ 133 ], + "C": [ 125 ], + "D": [ 117 ], + "Z": [ 1199 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21103.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1199 ], + "BLUT": [ 1198 ], + "C0": [ 112 ], + "Z": [ 1191 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21104": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 254 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1191 ], + "B": [ 24 ], + "C": [ 32 ], + "D": [ 531 ], + "Z": [ 1186 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1200 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1201 ], + "Z": [ 1202 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4095 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1200 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1201 ], + "Z": [ 1203 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 2184 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1200 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1201 ], + "Z": [ 1204 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 204 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1200 ], + "B": [ 24 ], + "C": [ 531 ], + "D": [ 1201 ], + "Z": [ 1205 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1203 ], + "BLUT": [ 1202 ], + "C0": [ 1136 ], + "Z": [ 1206 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1205 ], + "BLUT": [ 1204 ], + "C0": [ 1136 ], + "Z": [ 1207 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1206 ], + "D1": [ 1207 ], + "SD": [ 1208 ], + "Z": [ 1209 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21106.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65450 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1141 ], + "B": [ 1200 ], + "C": [ 1136 ], + "D": [ 1210 ], + "Z": [ 1211 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21106.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62211 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1141 ], + "B": [ 1200 ], + "C": [ 1136 ], + "D": [ 1210 ], + "Z": [ 1212 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21106.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1212 ], + "BLUT": [ 1211 ], + "C0": [ 1145 ], + "Z": [ 1201 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62965 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1200 ], + "C": [ 1213 ], + "D": [ 101 ], + "Z": [ 1214 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62965 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1200 ], + "C": [ 1213 ], + "D": [ 101 ], + "Z": [ 1215 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 12593 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1200 ], + "C": [ 1213 ], + "D": [ 101 ], + "Z": [ 1216 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61489 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 1200 ], + "C": [ 1213 ], + "D": [ 101 ], + "Z": [ 1217 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1215 ], + "BLUT": [ 1214 ], + "C0": [ 100 ], + "Z": [ 1218 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1217 ], + "BLUT": [ 1216 ], + "C0": [ 100 ], + "Z": [ 1219 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1218 ], + "D1": [ 1219 ], + "SD": [ 471 ], + "Z": [ 1210 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21108.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53007 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1200 ], + "B": [ 133 ], + "C": [ 127 ], + "D": [ 117 ], + "Z": [ 1220 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21108.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 21775 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1200 ], + "B": [ 133 ], + "C": [ 127 ], + "D": [ 117 ], "Z": [ 1221 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19818.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21108.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -36646,40 +36783,15 @@ "connections": { "ALUT": [ 1221 ], "BLUT": [ 1220 ], - "C0": [ 120 ], - "Z": [ 1217 ] + "C0": [ 112 ], + "Z": [ 1213 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19819": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21109": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 7 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1222 ], - "B": [ 82 ], - "C": [ 1223 ], - "D": [ "0" ], - "Z": [ 1219 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19820": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 14 + "INIT": 254 }, "attributes": { "module_not_derived": 1, @@ -36693,310 +36805,19 @@ "Z": "output" }, "connections": { - "A": [ 54 ], - "B": [ 53 ], - "C": [ 87 ], - "D": [ 88 ], - "Z": [ 1223 ] + "A": [ 1213 ], + "B": [ 24 ], + "C": [ 32 ], + "D": [ 531 ], + "Z": [ 1208 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1224 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1225 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 31 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1226 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 34 ], - "C": [ 36 ], - "D": [ 40 ], - "Z": [ 1227 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1225 ], - "BLUT": [ 1224 ], - "C0": [ 37 ], - "Z": [ 1228 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1227 ], - "BLUT": [ 1226 ], - "C0": [ 37 ], - "Z": [ 1229 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 1228 ], - "D1": [ 1229 ], - "SD": [ 52 ], - "Z": [ 1222 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19822": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 105 ], - "B": [ 159 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1216 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19823": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 55 ], - "B": [ 1230 ], - "C": [ 31 ], - "D": [ 99 ], - "Z": [ 1231 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19824": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 208 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1042 ], - "B": [ 1232 ], - "C": [ 285 ], - "D": [ "0" ], - "Z": [ 1230 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19825": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64708 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 42 ], - "B": [ 43 ], - "C": [ 41 ], - "D": [ 44 ], - "Z": [ 1232 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19826": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21110": { "hide_name": 1, "type": "LUT4", "parameters": { "INIT": 248 }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1073 ], - "B": [ 88 ], - "C": [ 814 ], - "D": [ "0" ], - "Z": [ 1233 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19827": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65504 - }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" @@ -37009,1010 +36830,18 @@ "Z": "output" }, "connections": { - "A": [ 161 ], - "B": [ 112 ], - "C": [ 314 ], - "D": [ 4 ], - "Z": [ 1234 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19828": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65504 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 112 ], - "C": [ 341 ], - "D": [ 4 ], - "Z": [ 1235 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19829": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65504 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 161 ], - "B": [ 112 ], - "C": [ 312 ], - "D": [ 4 ], - "Z": [ 1236 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19830": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 99 ], - "B": [ 1073 ], - "C": [ 1098 ], - "D": [ 73 ], - "Z": [ 1237 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19831.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 42 ], - "B": [ 1080 ], - "C": [ 41 ], - "D": [ 1238 ], - "Z": [ 1239 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19831.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 42 ], - "B": [ 1080 ], - "C": [ 41 ], - "D": [ 1238 ], - "Z": [ 1240 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19831.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1240 ], - "BLUT": [ 1239 ], - "C0": [ 1241 ], - "Z": [ 1242 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19832": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 32 ], - "B": [ 1042 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1238 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19833": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53248 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 1243 ], - "C": [ 285 ], - "D": [ 73 ], - "Z": [ 1241 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19834": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65151 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 41 ], - "B": [ 42 ], - "C": [ 44 ], - "D": [ 43 ], - "Z": [ 1243 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19835": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1244 ], - "B": [ 142 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1245 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19836": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1042 ], - "B": [ 105 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1244 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19837.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1246 ], - "B": [ 1247 ], - "C": [ 36 ], - "D": [ 1248 ], - "Z": [ 1249 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19837.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65392 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1246 ], - "B": [ 1247 ], - "C": [ 36 ], - "D": [ 1248 ], - "Z": [ 1250 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19837.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1250 ], - "BLUT": [ 1249 ], - "C0": [ 1251 ], - "Z": [ 1252 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19838": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 14 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1223 ], - "B": [ 82 ], - "C": [ 983 ], - "D": [ 1253 ], - "Z": [ 1247 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19839": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 125 ], - "B": [ 1013 ], - "C": [ 959 ], - "D": [ "0" ], - "Z": [ 1253 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19840.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 511 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1254 ], - "B": [ 99 ], - "C": [ 73 ], - "D": [ 120 ], - "Z": [ 1255 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19840.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1254 ], - "B": [ 99 ], - "C": [ 73 ], - "D": [ 120 ], - "Z": [ 1256 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19840.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1256 ], - "BLUT": [ 1255 ], - "C0": [ 148 ], - "Z": [ 1246 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19841": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 122 ], - "B": [ 58 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1254 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19842": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 244 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 100 ], - "B": [ 33 ], - "C": [ 36 ], - "D": [ 1257 ], - "Z": [ 1248 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 255 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1016 ], - "B": [ 126 ], + "A": [ 1141 ], + "B": [ 171 ], "C": [ 1222 ], "D": [ 1223 ], - "Z": [ 1258 ] + "Z": [ 1224 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.lut1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21111": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 255 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1016 ], - "B": [ 126 ], - "C": [ 1222 ], - "D": [ 1223 ], - "Z": [ 1259 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 255 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1016 ], - "B": [ 126 ], - "C": [ 1222 ], - "D": [ 1223 ], - "Z": [ 1260 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 7 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1016 ], - "B": [ 126 ], - "C": [ 1222 ], - "D": [ 1223 ], - "Z": [ 1261 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1259 ], - "BLUT": [ 1258 ], - "C0": [ 106 ], - "Z": [ 1262 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1261 ], - "BLUT": [ 1260 ], - "C0": [ 106 ], - "Z": [ 1263 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 1262 ], - "D1": [ 1263 ], - "SD": [ 130 ], - "Z": [ 1257 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19844.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 98 ], - "B": [ 100 ], - "C": [ 1013 ], - "D": [ 1264 ], - "Z": [ 1265 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19844.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 20479 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 98 ], - "B": [ 100 ], - "C": [ 1013 ], - "D": [ 1264 ], - "Z": [ 1266 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19844.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1266 ], - "BLUT": [ 1265 ], - "C0": [ 1267 ], - "Z": [ 1251 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32639 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 159 ], - "B": [ 105 ], - "C": [ 145 ], - "D": [ 993 ], - "Z": [ 1268 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 127 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 159 ], - "B": [ 105 ], - "C": [ 145 ], - "D": [ 993 ], - "Z": [ 1269 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 159 ], - "B": [ 105 ], - "C": [ 145 ], - "D": [ 993 ], - "Z": [ 1270 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 159 ], - "B": [ 105 ], - "C": [ 145 ], - "D": [ 993 ], - "Z": [ 1271 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1269 ], - "BLUT": [ 1268 ], - "C0": [ 1272 ], - "Z": [ 1273 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1271 ], - "BLUT": [ 1270 ], - "C0": [ 1272 ], - "Z": [ 1274 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 1273 ], - "D1": [ 1274 ], - "SD": [ 814 ], - "Z": [ 1267 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19846.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 36 ], - "C": [ 52 ], - "D": [ 55 ], - "Z": [ 1275 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19846.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1792 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 36 ], - "C": [ 52 ], - "D": [ 55 ], - "Z": [ 1276 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19846.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1276 ], - "BLUT": [ 1275 ], - "C0": [ 1277 ], - "Z": [ 1272 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19847": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 + "INIT": 224 }, "attributes": { "module_not_derived": 1, @@ -38026,436 +36855,14 @@ "Z": "output" }, "connections": { - "A": [ 125 ], - "B": [ 959 ], - "C": [ 33 ], + "A": [ 130 ], + "B": [ 1225 ], + "C": [ 1226 ], "D": [ "0" ], - "Z": [ 1264 ] + "Z": [ 1222 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19848": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1278 ], - "B": [ 1238 ], - "C": [ 1073 ], - "D": [ 87 ], - "Z": [ 1279 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19849": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 41 ], - "B": [ 43 ], - "C": [ 42 ], - "D": [ 44 ], - "Z": [ 1278 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19850": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1280 ], - "B": [ 1238 ], - "C": [ 1281 ], - "D": [ 52 ], - "Z": [ 1282 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19851": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 46 ], - "B": [ 1230 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1281 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19852": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 41 ], - "B": [ 56 ], - "C": [ 42 ], - "D": [ "0" ], - "Z": [ 1280 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19853.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16448 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 996 ], - "B": [ 1042 ], - "C": [ 1079 ], - "D": [ 97 ], - "Z": [ 1283 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19853.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 996 ], - "B": [ 1042 ], - "C": [ 1079 ], - "D": [ 97 ], - "Z": [ 1284 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19853.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1284 ], - "BLUT": [ 1283 ], - "C0": [ 1073 ], - "Z": [ 1285 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19854": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1244 ], - "B": [ 141 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1286 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19855": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 57 ], - "B": [ 1238 ], - "C": [ 1073 ], - "D": [ 98 ], - "Z": [ 1287 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19856": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1230 ], - "B": [ 35 ], - "C": [ 1074 ], - "D": [ 40 ], - "Z": [ 1288 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19857": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 62532 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 32 ], - "B": [ 1289 ], - "C": [ 1216 ], - "D": [ 140 ], - "Z": [ 1290 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19858": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1277 ], - "B": [ 55 ], - "C": [ 46 ], - "D": [ 45 ], - "Z": [ 1289 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19859": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1244 ], - "B": [ 139 ], - "C": [ 1033 ], - "D": [ 159 ], - "Z": [ 1291 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19860": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63624 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1292 ], - "B": [ 1238 ], - "C": [ 1293 ], - "D": [ 58 ], - "Z": [ 1294 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19861": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 7936 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 57 ], - "B": [ 1076 ], - "C": [ 33 ], - "D": [ 285 ], - "Z": [ 1293 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19862": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 41 ], - "B": [ 42 ], - "C": [ 1080 ], - "D": [ "0" ], - "Z": [ 1292 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19863": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21112": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -38473,233 +36880,14 @@ "Z": "output" }, "connections": { - "A": [ 31 ], - "B": [ 57 ], - "C": [ 58 ], + "A": [ 1227 ], + "B": [ 133 ], + "C": [ 117 ], "D": [ "0" ], - "Z": [ 1295 ] + "Z": [ 1225 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19864": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1074 ], - "B": [ 58 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1296 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19865.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16448 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 42 ], - "B": [ 41 ], - "C": [ 1297 ], - "D": [ 54 ], - "Z": [ 1298 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19865.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 42 ], - "B": [ 41 ], - "C": [ 1297 ], - "D": [ 54 ], - "Z": [ 1299 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19865.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1299 ], - "BLUT": [ 1298 ], - "C0": [ 1281 ], - "Z": [ 1300 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19866": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32768 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 31 ], - "B": [ 37 ], - "C": [ 43 ], - "D": [ 44 ], - "Z": [ 1297 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19867": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1098 ], - "B": [ 58 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 1301 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19868.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32896 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1302 ], - "B": [ 40 ], - "C": [ 31 ], - "D": [ 85 ], - "Z": [ 1303 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19868.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1302 ], - "B": [ 40 ], - "C": [ 31 ], - "D": [ 85 ], - "Z": [ 1304 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19868.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1304 ], - "BLUT": [ 1303 ], - "C0": [ 1073 ], - "Z": [ 1305 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19869": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21113": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -38717,18 +36905,18 @@ "Z": "output" }, "connections": { - "A": [ 41 ], - "B": [ 42 ], - "C": [ 43 ], - "D": [ 44 ], - "Z": [ 1302 ] + "A": [ 1116 ], + "B": [ 1126 ], + "C": [ 1122 ], + "D": [ 1124 ], + "Z": [ 1227 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19870": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21114": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 248 + "INIT": 7 }, "attributes": { "module_not_derived": 1, @@ -38742,18 +36930,43 @@ "Z": "output" }, "connections": { - "A": [ 1238 ], - "B": [ 1306 ], - "C": [ 1307 ], + "A": [ 32 ], + "B": [ 75 ], + "C": [ 1141 ], "D": [ "0" ], - "Z": [ 1308 ] + "Z": [ 1226 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19871": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21115": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 53248 + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 531 ], + "B": [ 32 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1223 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21116": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 }, "attributes": { "module_not_derived": 1, @@ -38767,14 +36980,1614 @@ "Z": "output" }, "connections": { - "A": [ 33 ], - "B": [ 38 ], - "C": [ 285 ], - "D": [ 37 ], + "A": [ 272 ], + "B": [ 32 ], + "C": [ 1228 ], + "D": [ 1229 ], + "Z": [ 1230 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21117": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1225 ], + "B": [ 1226 ], + "C": [ 132 ], + "D": [ "0" ], + "Z": [ 1228 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21118": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 170 ], + "B": [ 1141 ], + "C": [ 271 ], + "D": [ "0" ], + "Z": [ 1229 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21119": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 244 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 272 ], + "B": [ 32 ], + "C": [ 1231 ], + "D": [ "0" ], + "Z": [ 1232 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21120": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 20224 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1225 ], + "B": [ 126 ], + "C": [ 1226 ], + "D": [ 1233 ], + "Z": [ 1231 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21121": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 173 ], + "B": [ 1141 ], + "C": [ 271 ], + "D": [ "0" ], + "Z": [ 1233 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21122": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 248 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1141 ], + "B": [ 172 ], + "C": [ 1234 ], + "D": [ 1223 ], + "Z": [ 1235 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21123": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1225 ], + "B": [ 1226 ], + "C": [ 128 ], + "D": [ "0" ], + "Z": [ 1234 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21124": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 44236 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1236 ], + "B": [ 1237 ], + "C": [ 67 ], + "D": [ 32 ], + "Z": [ 1238 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21125": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61678 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 239 ], + "B": [ 4 ], + "C": [ 1239 ], + "D": [ 78 ], + "Z": [ 1237 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21126": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 44236 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1240 ], + "B": [ 1241 ], + "C": [ 67 ], + "D": [ 32 ], + "Z": [ 1242 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21127": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61678 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 4 ], + "C": [ 1243 ], + "D": [ 78 ], + "Z": [ 1241 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21128": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 44236 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1244 ], + "B": [ 1245 ], + "C": [ 67 ], + "D": [ 32 ], + "Z": [ 1246 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21129": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61678 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 241 ], + "B": [ 4 ], + "C": [ 1247 ], + "D": [ 78 ], + "Z": [ 1245 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21130": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 501 ], + "C": [ 1249 ], + "D": [ 1250 ], + "Z": [ 1251 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21131": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1252 ], + "B": [ 334 ], + "C": [ 1249 ], + "D": [ 122 ], + "Z": [ 1250 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21132": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 117 ], + "B": [ 149 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1249 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21133": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 497 ], + "C": [ 1253 ], + "D": [ 1249 ], + "Z": [ 1254 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21134": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1255 ], + "B": [ 326 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1253 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21135": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 492 ], + "C": [ 1256 ], + "D": [ 1249 ], + "Z": [ 1257 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21136": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1258 ], + "B": [ 317 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1256 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21137": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 503 ], + "C": [ 1259 ], + "D": [ 1249 ], + "Z": [ 1260 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21138": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1261 ], + "B": [ 338 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1259 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21139": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 499 ], + "C": [ 1262 ], + "D": [ 1249 ], + "Z": [ 1263 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21140": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1264 ], + "B": [ 330 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1262 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21141": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 495 ], + "C": [ 1265 ], + "D": [ 1249 ], + "Z": [ 1266 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21142": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1267 ], + "B": [ 322 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1265 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21143": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 490 ], + "C": [ 1268 ], + "D": [ 1249 ], + "Z": [ 1269 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21144": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1270 ], + "B": [ 312 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1268 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21145": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 487 ], + "C": [ 1271 ], + "D": [ 1249 ], + "Z": [ 1272 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21146": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1273 ], + "B": [ 307 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1271 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21147": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 485 ], + "C": [ 1274 ], + "D": [ 1249 ], + "Z": [ 1275 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21148": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1276 ], + "B": [ 302 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1274 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21149": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 483 ], + "C": [ 1277 ], + "D": [ 1249 ], + "Z": [ 1278 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21150": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1279 ], + "B": [ 298 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1277 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21151": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 481 ], + "C": [ 1280 ], + "D": [ 1249 ], + "Z": [ 1281 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21152": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1282 ], + "B": [ 294 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1280 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21153": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 478 ], + "C": [ 1283 ], + "D": [ 1249 ], + "Z": [ 1284 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21154": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1285 ], + "B": [ 289 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1283 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21155": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 476 ], + "C": [ 1286 ], + "D": [ 1249 ], + "Z": [ 1287 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21156": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1288 ], + "B": [ 284 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1286 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21157": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 474 ], + "C": [ 1289 ], + "D": [ 1249 ], + "Z": [ 1290 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21158": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1291 ], + "B": [ 280 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1289 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21159": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 472 ], + "C": [ 1292 ], + "D": [ 1249 ], + "Z": [ 1293 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21160": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 276 ], + "B": [ 260 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1292 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21161": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17648 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1248 ], + "B": [ 468 ], + "C": [ 1294 ], + "D": [ 1249 ], + "Z": [ 1295 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21162": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 269 ], + "B": [ 259 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1294 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21163": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 334 ], + "D": [ "0" ], + "Z": [ 1297 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21164": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 239 ], + "D": [ "0" ], + "Z": [ 1296 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21165": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 326 ], + "D": [ "0" ], + "Z": [ 1298 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21166": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 317 ], + "D": [ "0" ], + "Z": [ 1299 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21167": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 338 ], + "D": [ "0" ], + "Z": [ 1300 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21168": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 330 ], + "D": [ "0" ], + "Z": [ 1301 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21169": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 1302 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21170": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 312 ], + "D": [ "0" ], + "Z": [ 1303 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21171": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 307 ], + "D": [ "0" ], + "Z": [ 1304 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21172": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 302 ], + "D": [ "0" ], + "Z": [ 1305 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21173": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 298 ], + "D": [ "0" ], + "Z": [ 1306 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21174": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 294 ], + "D": [ "0" ], "Z": [ 1307 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19872": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21175": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 289 ], + "D": [ "0" ], + "Z": [ 1308 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21176": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 284 ], + "D": [ "0" ], + "Z": [ 1309 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21177": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 280 ], + "D": [ "0" ], + "Z": [ 1310 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21178": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 276 ], + "D": [ "0" ], + "Z": [ 1311 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21179": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 1296 ], + "C": [ 269 ], + "D": [ "0" ], + "Z": [ 1312 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21180": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1313 ], + "B": [ 1314 ], + "C": [ 1315 ], + "D": [ 1316 ], + "Z": [ 1317 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21181": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -38792,186 +38605,814 @@ "Z": "output" }, "connections": { - "A": [ 41 ], - "B": [ 42 ], - "C": [ 43 ], - "D": [ 44 ], - "Z": [ 1306 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19873.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32896 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1278 ], - "B": [ 40 ], - "C": [ 31 ], - "D": [ 34 ], - "Z": [ 1309 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19873.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1278 ], - "B": [ 40 ], - "C": [ 31 ], - "D": [ 34 ], - "Z": [ 1310 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19873.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 1310 ], - "BLUT": [ 1309 ], - "C0": [ 1230 ], - "Z": [ 1311 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19874": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 1292 ], - "B": [ 31 ], - "C": [ 37 ], - "D": [ 1312 ], - "Z": [ 1313 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19875": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53248 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 33 ], - "B": [ 39 ], - "C": [ 285 ], - "D": [ 40 ], - "Z": [ 1312 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19876.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32896 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 41 ], - "B": [ 42 ], - "C": [ 1297 ], - "D": [ 53 ], - "Z": [ 1314 ] - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19876.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65408 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 41 ], - "B": [ 42 ], - "C": [ 1297 ], - "D": [ 53 ], + "A": [ 1318 ], + "B": [ 1314 ], + "C": [ 1319 ], + "D": [ 1320 ], "Z": [ 1315 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19876.mux5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21182": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1319 ], + "B": [ 1321 ], + "C": [ 1318 ], + "D": [ 1322 ], + "Z": [ 1316 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21183": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 78 ], + "C": [ 418 ], + "D": [ 4 ], + "Z": [ 1323 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21184": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1324 ], + "B": [ 1314 ], + "C": [ 1325 ], + "D": [ 1326 ], + "Z": [ 1327 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21185": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1318 ], + "B": [ 1314 ], + "C": [ 1319 ], + "D": [ 1328 ], + "Z": [ 1325 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21186": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1319 ], + "B": [ 1329 ], + "C": [ 1318 ], + "D": [ 1330 ], + "Z": [ 1326 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21187": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1331 ], + "B": [ 1314 ], + "C": [ 1332 ], + "D": [ 1333 ], + "Z": [ 1334 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21188": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1318 ], + "B": [ 1314 ], + "C": [ 1319 ], + "D": [ 1335 ], + "Z": [ 1332 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21189": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1319 ], + "B": [ 1336 ], + "C": [ 1318 ], + "D": [ 1337 ], + "Z": [ 1333 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21190": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1338 ], + "B": [ 1314 ], + "C": [ 1339 ], + "D": [ 1340 ], + "Z": [ 1341 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21191": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1318 ], + "B": [ 1314 ], + "C": [ 1319 ], + "D": [ 1342 ], + "Z": [ 1339 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21192": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1319 ], + "B": [ 1343 ], + "C": [ 1318 ], + "D": [ 1344 ], + "Z": [ 1340 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21193": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 78 ], + "C": [ 451 ], + "D": [ 4 ], + "Z": [ 1345 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21194": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 185 ], + "B": [ 74 ], + "C": [ 187 ], + "D": [ 1346 ], + "Z": [ 1347 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21195": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 173 ], + "B": [ 172 ], + "C": [ 171 ], + "D": [ 170 ], + "Z": [ 1346 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21196": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 143 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 191 ], + "B": [ 199 ], + "C": [ 1348 ], + "D": [ "0" ], + "Z": [ 1349 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21197": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1350 ], + "B": [ 1351 ], + "C": [ 185 ], + "D": [ 56 ], + "Z": [ 1348 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21198": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 171 ], + "B": [ 170 ], + "C": [ 1352 ], + "D": [ "0" ], + "Z": [ 1351 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21199": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 32 ], + "B": [ 55 ], + "C": [ 173 ], + "D": [ 172 ], + "Z": [ 1352 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21200": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 32 ], + "B": [ 54 ], + "C": [ 64 ], + "D": [ 24 ], + "Z": [ 1350 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21201": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 162 ], + "B": [ 1353 ], + "C": [ 80 ], + "D": [ 1354 ], + "Z": [ 1355 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21202": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62464 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1136 ], + "B": [ 191 ], + "C": [ 185 ], + "D": [ 75 ], + "Z": [ 1354 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21203": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 173 ], + "B": [ 170 ], + "C": [ 171 ], + "D": [ 172 ], + "Z": [ 1353 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21204": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1356 ], + "B": [ 80 ], + "C": [ 185 ], + "D": [ 79 ], + "Z": [ 1357 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21205": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 171 ], + "B": [ 170 ], + "C": [ 162 ], + "D": [ 1358 ], + "Z": [ 1356 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21206": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 172 ], + "B": [ 173 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1358 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21207": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 133 ], + "B": [ 165 ], + "C": [ 61 ], + "D": [ 1359 ], + "Z": [ 1360 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21208": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 187 ], + "B": [ 1358 ], + "C": [ 1361 ], + "D": [ "0" ], + "Z": [ 1359 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21209": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 171 ], + "B": [ 170 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1361 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21210": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 185 ], + "B": [ 65 ], + "C": [ 187 ], + "D": [ 178 ], + "Z": [ 1362 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21211": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1363 ], + "B": [ 173 ], + "C": [ 172 ], + "D": [ 1364 ], + "Z": [ 1365 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21212.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 167 ], + "B": [ 168 ], + "C": [ 4 ], + "D": [ 133 ], + "Z": [ 1366 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21212.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 14 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 167 ], + "B": [ 168 ], + "C": [ 4 ], + "D": [ 133 ], + "Z": [ 1367 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21212.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -38987,13 +39428,654 @@ "Z": "output" }, "connections": { - "ALUT": [ 1315 ], - "BLUT": [ 1314 ], - "C0": [ 1281 ], - "Z": [ 1316 ] + "ALUT": [ 1367 ], + "BLUT": [ 1366 ], + "C0": [ 68 ], + "Z": [ 1364 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19877": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21213.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 32 ], + "B": [ 55 ], + "C": [ 170 ], + "D": [ 171 ], + "Z": [ 1368 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21213.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1792 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 32 ], + "B": [ 55 ], + "C": [ 170 ], + "D": [ 171 ], + "Z": [ 1369 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21213.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1369 ], + "BLUT": [ 1368 ], + "C0": [ 1350 ], + "Z": [ 1363 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21214": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 133 ], + "B": [ 165 ], + "C": [ 67 ], + "D": [ 1370 ], + "Z": [ 1371 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21215": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 162 ], + "B": [ 1372 ], + "C": [ 64 ], + "D": [ "0" ], + "Z": [ 1370 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21216": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 171 ], + "B": [ 170 ], + "C": [ 173 ], + "D": [ 172 ], + "Z": [ 1372 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21217.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16448 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 142 ], + "B": [ 165 ], + "C": [ 117 ], + "D": [ 79 ], + "Z": [ 1373 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21217.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 142 ], + "B": [ 165 ], + "C": [ 117 ], + "D": [ 79 ], + "Z": [ 1374 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21217.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1374 ], + "BLUT": [ 1373 ], + "C0": [ 162 ], + "Z": [ 1375 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21218": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 248 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 185 ], + "B": [ 66 ], + "C": [ 1120 ], + "D": [ "0" ], + "Z": [ 1376 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21219": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36744 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1377 ], + "B": [ 1351 ], + "C": [ 1378 ], + "D": [ 77 ], + "Z": [ 1379 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21220": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 212 ], + "B": [ 191 ], + "C": [ 185 ], + "D": [ "0" ], + "Z": [ 1378 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21221": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 32 ], + "B": [ 54 ], + "C": [ 83 ], + "D": [ 24 ], + "Z": [ 1377 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21222": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36744 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 162 ], + "B": [ 74 ], + "C": [ 1380 ], + "D": [ 73 ], + "Z": [ 1381 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21223": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 13 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 191 ], + "B": [ 1136 ], + "C": [ 185 ], + "D": [ "0" ], + "Z": [ 1380 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21224": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 170 ], + "B": [ 171 ], + "C": [ 1382 ], + "D": [ 1383 ], + "Z": [ 1384 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21225": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63488 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 191 ], + "B": [ 211 ], + "C": [ 185 ], + "D": [ 80 ], + "Z": [ 1383 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21226": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 173 ], + "B": [ 172 ], + "C": [ 187 ], + "D": [ "0" ], + "Z": [ 1382 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21227": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 1356 ], + "C": [ 185 ], + "D": [ 72 ], + "Z": [ 1385 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21228.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32896 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1352 ], + "B": [ 1350 ], + "C": [ 1361 ], + "D": [ 70 ], + "Z": [ 1386 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21228.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1352 ], + "B": [ 1350 ], + "C": [ 1361 ], + "D": [ 70 ], + "Z": [ 1387 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21228.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1387 ], + "BLUT": [ 1386 ], + "C0": [ 185 ], + "Z": [ 1388 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21229": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 185 ], + "B": [ 76 ], + "C": [ 1382 ], + "D": [ 1361 ], + "Z": [ 1389 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21230": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1358 ], + "B": [ 1363 ], + "C": [ 185 ], + "D": [ 71 ], + "Z": [ 1390 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21231": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 160 ], + "B": [ 167 ], + "C": [ 122 ], + "D": [ "0" ], + "Z": [ 1391 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21232": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 78 ], + "C": [ 1296 ], + "D": [ 4 ], + "Z": [ 1392 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21233": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39011,14 +40093,3222 @@ "Z": "output" }, "connections": { - "A": [ 107 ], - "B": [ 4 ], + "A": [ 4 ], + "B": [ 82 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 1317 ] + "Z": [ 1393 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19878": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21234.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1397 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21234.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65522 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1398 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21234.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1398 ], + "BLUT": [ 1397 ], + "C0": [ 1399 ], + "Z": [ 1400 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21235.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1401 ], + "B": [ 1402 ], + "C": [ 1136 ], + "D": [ 1403 ], + "Z": [ 1404 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21235.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 31 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1401 ], + "B": [ 1402 ], + "C": [ 1136 ], + "D": [ 1403 ], + "Z": [ 1405 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21235.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1405 ], + "BLUT": [ 1404 ], + "C0": [ 1406 ], + "Z": [ 1394 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21236": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 73 ], + "Z": [ 1401 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21237.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 93 ], + "Z": [ 1407 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21237.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 93 ], + "Z": [ 1408 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21237.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1408 ], + "BLUT": [ 1407 ], + "C0": [ 75 ], + "Z": [ 1402 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21238": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 35 ], + "B": [ 34 ], + "C": [ 66 ], + "D": [ "0" ], + "Z": [ 1406 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21239": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 1409 ], + "Z": [ 1403 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21240": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 73 ], + "B": [ 74 ], + "C": [ 76 ], + "D": [ "0" ], + "Z": [ 1409 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 26 ], + "B": [ 27 ], + "C": [ 24 ], + "D": [ 1410 ], + "Z": [ 1411 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 26 ], + "B": [ 27 ], + "C": [ 24 ], + "D": [ 1410 ], + "Z": [ 1412 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 33023 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 26 ], + "B": [ 27 ], + "C": [ 24 ], + "D": [ 1410 ], + "Z": [ 1413 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 26 ], + "B": [ 27 ], + "C": [ 24 ], + "D": [ 1410 ], + "Z": [ 1414 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1412 ], + "BLUT": [ 1411 ], + "C0": [ 1415 ], + "Z": [ 1416 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1414 ], + "BLUT": [ 1413 ], + "C0": [ 1415 ], + "Z": [ 1417 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1416 ], + "D1": [ 1417 ], + "SD": [ 1418 ], + "Z": [ 1396 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21242": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 96 ], + "B": [ 78 ], + "C": [ 82 ], + "D": [ 4 ], + "Z": [ 1418 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21243.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 1419 ], + "D": [ 117 ], + "Z": [ 1420 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21243.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 1419 ], + "D": [ 117 ], + "Z": [ 1421 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21243.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1421 ], + "BLUT": [ 1420 ], + "C0": [ 112 ], + "Z": [ 1415 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21244": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 31 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], + "B": [ 54 ], + "C": [ 32 ], + "D": [ 81 ], + "Z": [ 1419 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21245": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 239 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 102 ], + "B": [ 117 ], + "C": [ 1419 ], + "D": [ 1422 ], + "Z": [ 1410 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21246.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], + "B": [ 54 ], + "C": [ 32 ], + "D": [ 81 ], + "Z": [ 1423 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21246.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 31 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], + "B": [ 54 ], + "C": [ 32 ], + "D": [ 81 ], + "Z": [ 1424 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21246.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1424 ], + "BLUT": [ 1423 ], + "C0": [ 123 ], + "Z": [ 1422 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21247": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 160 ], + "B": [ 99 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1395 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1425 ], + "B": [ 1426 ], + "C": [ 1427 ], + "D": [ 1428 ], + "Z": [ 1429 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1425 ], + "B": [ 1426 ], + "C": [ 1427 ], + "D": [ 1428 ], + "Z": [ 1430 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1425 ], + "B": [ 1426 ], + "C": [ 1427 ], + "D": [ 1428 ], + "Z": [ 1431 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1425 ], + "B": [ 1426 ], + "C": [ 1427 ], + "D": [ 1428 ], + "Z": [ 1432 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 5439 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1425 ], + "B": [ 1426 ], + "C": [ 1427 ], + "D": [ 1428 ], + "Z": [ 1433 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 5397 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1425 ], + "B": [ 1426 ], + "C": [ 1427 ], + "D": [ 1428 ], + "Z": [ 1434 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1425 ], + "B": [ 1426 ], + "C": [ 1427 ], + "D": [ 1428 ], + "Z": [ 1435 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1425 ], + "B": [ 1426 ], + "C": [ 1427 ], + "D": [ 1428 ], + "Z": [ 1436 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1430 ], + "BLUT": [ 1429 ], + "C0": [ 1437 ], + "Z": [ 1438 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1432 ], + "BLUT": [ 1431 ], + "C0": [ 1437 ], + "Z": [ 1439 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1434 ], + "BLUT": [ 1433 ], + "C0": [ 1437 ], + "Z": [ 1440 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1436 ], + "BLUT": [ 1435 ], + "C0": [ 1437 ], + "Z": [ 1441 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1438 ], + "D1": [ 1439 ], + "SD": [ 1442 ], + "Z": [ 1443 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1440 ], + "D1": [ 1441 ], + "SD": [ 1442 ], + "Z": [ 1444 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1443 ], + "D1": [ 1444 ], + "SD": [ 1445 ], + "Z": [ 1399 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21249.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 1446 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21249.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 1447 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21249.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1447 ], + "BLUT": [ 1446 ], + "C0": [ 45 ], + "Z": [ 1426 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21250.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 70 ], + "B": [ 92 ], + "C": [ 1448 ], + "D": [ 1449 ], + "Z": [ 1450 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21250.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 70 ], + "B": [ 92 ], + "C": [ 1448 ], + "D": [ 1449 ], + "Z": [ 1451 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21250.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1451 ], + "BLUT": [ 1450 ], + "C0": [ 85 ], + "Z": [ 1437 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 73 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 1452 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 73 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 1453 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 73 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 1454 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 73 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 1455 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1453 ], + "BLUT": [ 1452 ], + "C0": [ 76 ], + "Z": [ 1456 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1455 ], + "BLUT": [ 1454 ], + "C0": [ 76 ], + "Z": [ 1457 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1456 ], + "D1": [ 1457 ], + "SD": [ 61 ], + "Z": [ 1448 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21252": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 244 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 82 ], + "B": [ 78 ], + "C": [ 81 ], + "D": [ "0" ], + "Z": [ 1449 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21253.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 1458 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21253.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 36 ], + "Z": [ 1459 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21253.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1459 ], + "BLUT": [ 1458 ], + "C0": [ 1460 ], + "Z": [ 1428 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21254": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 81 ], + "B": [ 82 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1460 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21255": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 96 ], + "B": [ 50 ], + "C": [ 4 ], + "D": [ 32 ], + "Z": [ 1427 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 35 ], + "B": [ 34 ], + "C": [ 120 ], + "D": [ 1461 ], + "Z": [ 1462 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 35 ], + "B": [ 34 ], + "C": [ 120 ], + "D": [ 1461 ], + "Z": [ 1463 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 35 ], + "B": [ 34 ], + "C": [ 120 ], + "D": [ 1461 ], + "Z": [ 1464 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61568 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 35 ], + "B": [ 34 ], + "C": [ 120 ], + "D": [ 1461 ], + "Z": [ 1465 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1463 ], + "BLUT": [ 1462 ], + "C0": [ 1466 ], + "Z": [ 1467 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1465 ], + "BLUT": [ 1464 ], + "C0": [ 1466 ], + "Z": [ 1468 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1467 ], + "D1": [ 1468 ], + "SD": [ 103 ], + "Z": [ 1442 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21257": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 142 ], + "B": [ 112 ], + "C": [ 149 ], + "D": [ "0" ], + "Z": [ 1466 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21258": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 67 ], + "B": [ 68 ], + "C": [ 133 ], + "D": [ "0" ], + "Z": [ 1461 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21259": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 13 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 506 ], + "B": [ 120 ], + "C": [ 220 ], + "D": [ 231 ], + "Z": [ 1445 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21260": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 14 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 32 ], + "B": [ 24 ], + "C": [ 80 ], + "D": [ 79 ], + "Z": [ 1425 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21261.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32896 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1469 ], + "B": [ 1136 ], + "C": [ 112 ], + "D": [ 142 ], + "Z": [ 1470 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21261.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1469 ], + "B": [ 1136 ], + "C": [ 112 ], + "D": [ 142 ], + "Z": [ 1471 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21261.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1471 ], + "BLUT": [ 1470 ], + "C0": [ 1472 ], + "Z": [ 1473 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21262": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 117 ], + "B": [ 415 ], + "C": [ 1419 ], + "D": [ "0" ], + "Z": [ 1472 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21263": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7936 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], + "B": [ 54 ], + "C": [ 32 ], + "D": [ 117 ], + "Z": [ 1469 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21264": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 143 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1415 ], + "B": [ 415 ], + "C": [ 1474 ], + "D": [ "0" ], + "Z": [ 1475 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21265": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3003 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 120 ], + "B": [ 1249 ], + "C": [ 1472 ], + "D": [ 112 ], + "Z": [ 1474 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21266": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 160 ], + "B": [ 167 ], + "C": [ 124 ], + "D": [ "0" ], + "Z": [ 1476 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21267": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 248 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1472 ], + "B": [ 1477 ], + "C": [ 1478 ], + "D": [ "0" ], + "Z": [ 1479 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21268": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62464 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1480 ], + "B": [ 1136 ], + "C": [ 1481 ], + "D": [ 24 ], + "Z": [ 1478 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21269.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 70 ], + "B": [ 85 ], + "C": [ 1482 ], + "D": [ 92 ], + "Z": [ 1483 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21269.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 70 ], + "B": [ 85 ], + "C": [ 1482 ], + "D": [ 92 ], + "Z": [ 1484 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21269.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1484 ], + "BLUT": [ 1483 ], + "C0": [ 1485 ], + "Z": [ 1480 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21270": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 14 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 68 ], + "B": [ 67 ], + "C": [ 65 ], + "D": [ 66 ], + "Z": [ 1485 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21271": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 61 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1482 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21272.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 70 ], + "B": [ 92 ], + "C": [ 1448 ], + "D": [ 85 ], + "Z": [ 1486 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21272.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 70 ], + "B": [ 92 ], + "C": [ 1448 ], + "D": [ 85 ], + "Z": [ 1487 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21272.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1487 ], + "BLUT": [ 1486 ], + "C0": [ 79 ], + "Z": [ 1481 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21273": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 67 ], + "B": [ 68 ], + "C": [ 61 ], + "D": [ 133 ], + "Z": [ 1477 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21274": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65279 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1488 ], + "B": [ 1489 ], + "C": [ 1490 ], + "D": [ 1491 ], + "Z": [ 1492 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1403 ], + "B": [ 1481 ], + "C": [ 1493 ], + "D": [ 1494 ], + "Z": [ 1495 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1403 ], + "B": [ 1481 ], + "C": [ 1493 ], + "D": [ 1494 ], + "Z": [ 1496 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1403 ], + "B": [ 1481 ], + "C": [ 1493 ], + "D": [ 1494 ], + "Z": [ 1497 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65279 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1403 ], + "B": [ 1481 ], + "C": [ 1493 ], + "D": [ 1494 ], + "Z": [ 1498 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1496 ], + "BLUT": [ 1495 ], + "C0": [ 20 ], + "Z": [ 1499 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1498 ], + "BLUT": [ 1497 ], + "C0": [ 20 ], + "Z": [ 1500 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1499 ], + "D1": [ 1500 ], + "SD": [ 32 ], + "Z": [ 1488 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21276.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1501 ], + "B": [ 33 ], + "C": [ 272 ], + "D": [ 35 ], + "Z": [ 1502 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21276.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 48911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1501 ], + "B": [ 33 ], + "C": [ 272 ], + "D": [ 35 ], + "Z": [ 1503 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21276.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1503 ], + "BLUT": [ 1502 ], + "C0": [ 213 ], + "Z": [ 1494 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21277": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 73 ], + "B": [ 74 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1501 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 93 ], + "Z": [ 1504 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 93 ], + "Z": [ 1505 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 93 ], + "Z": [ 1506 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 93 ], + "Z": [ 1507 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1505 ], + "BLUT": [ 1504 ], + "C0": [ 97 ], + "Z": [ 1508 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1507 ], + "BLUT": [ 1506 ], + "C0": [ 97 ], + "Z": [ 1509 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1508 ], + "D1": [ 1509 ], + "SD": [ 1510 ], + "Z": [ 1493 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21279": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 78 ], + "B": [ 81 ], + "C": [ 82 ], + "D": [ 77 ], + "Z": [ 1510 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21280": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 13 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1480 ], + "B": [ 1402 ], + "C": [ 1511 ], + "D": [ "0" ], + "Z": [ 1489 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21281": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 143 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 24 ], + "D": [ 32 ], + "Z": [ 1511 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21282.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 1141 ], + "Z": [ 1512 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21282.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 34 ], + "C": [ 35 ], + "D": [ 1141 ], + "Z": [ 1513 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21282.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1513 ], + "BLUT": [ 1512 ], + "C0": [ 1514 ], + "Z": [ 1491 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32639 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1469 ], + "C": [ 1515 ], + "D": [ 415 ], + "Z": [ 1516 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 127 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1469 ], + "C": [ 1515 ], + "D": [ 415 ], + "Z": [ 1517 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1469 ], + "C": [ 1515 ], + "D": [ 415 ], + "Z": [ 1518 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1469 ], + "C": [ 1515 ], + "D": [ 415 ], + "Z": [ 1519 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1517 ], + "BLUT": [ 1516 ], + "C0": [ 1422 ], + "Z": [ 1520 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1519 ], + "BLUT": [ 1518 ], + "C0": [ 1422 ], + "Z": [ 1521 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1520 ], + "D1": [ 1521 ], + "SD": [ 1120 ], + "Z": [ 1514 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21284": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1136 ], + "B": [ 1401 ], + "C": [ 24 ], + "D": [ "0" ], + "Z": [ 1490 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21285.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16448 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1515 ], + "B": [ 1477 ], + "C": [ 1469 ], + "D": [ 149 ], + "Z": [ 1522 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21285.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1515 ], + "B": [ 1477 ], + "C": [ 1469 ], + "D": [ 149 ], + "Z": [ 1523 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21285.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1523 ], + "BLUT": [ 1522 ], + "C0": [ 1472 ], + "Z": [ 1524 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21286": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 162 ], + "B": [ 1346 ], + "C": [ 77 ], + "D": [ "0" ], + "Z": [ 1525 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21287": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 162 ], + "B": [ 161 ], + "C": [ 77 ], + "D": [ "0" ], + "Z": [ 1526 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21288": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1356 ], + "B": [ 77 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1527 ] + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21289": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39036,14 +43326,14 @@ "Z": "output" }, "connections": { - "A": [ 1318 ], - "B": [ 44 ], - "C": [ 240 ], - "D": [ 33 ], - "Z": [ 1319 ] + "A": [ 1528 ], + "B": [ 173 ], + "C": [ 265 ], + "D": [ 24 ], + "Z": [ 1529 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19879": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21290": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39061,14 +43351,14 @@ "Z": "output" }, "connections": { - "A": [ 1320 ], - "B": [ 41 ], - "C": [ 240 ], - "D": [ 33 ], - "Z": [ 1321 ] + "A": [ 1530 ], + "B": [ 170 ], + "C": [ 285 ], + "D": [ 24 ], + "Z": [ 1531 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19880": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21291": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39086,14 +43376,14 @@ "Z": "output" }, "connections": { - "A": [ 1322 ], - "B": [ 41 ], - "C": [ 230 ], - "D": [ 33 ], - "Z": [ 1323 ] + "A": [ 1532 ], + "B": [ 171 ], + "C": [ 285 ], + "D": [ 24 ], + "Z": [ 1533 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19881": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21292": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39111,14 +43401,14 @@ "Z": "output" }, "connections": { - "A": [ 1324 ], - "B": [ 42 ], - "C": [ 240 ], - "D": [ 33 ], - "Z": [ 1325 ] + "A": [ 1534 ], + "B": [ 173 ], + "C": [ 285 ], + "D": [ 24 ], + "Z": [ 1535 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19882": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21293": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39136,14 +43426,14 @@ "Z": "output" }, "connections": { - "A": [ 1326 ], - "B": [ 42 ], - "C": [ 230 ], - "D": [ 33 ], - "Z": [ 1327 ] + "A": [ 1536 ], + "B": [ 172 ], + "C": [ 303 ], + "D": [ 24 ], + "Z": [ 1537 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19883": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21294": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39161,14 +43451,14 @@ "Z": "output" }, "connections": { - "A": [ 1328 ], - "B": [ 43 ], - "C": [ 240 ], - "D": [ 33 ], - "Z": [ 1329 ] + "A": [ 1538 ], + "B": [ 172 ], + "C": [ 265 ], + "D": [ 24 ], + "Z": [ 1539 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19884": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21295": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39186,14 +43476,14 @@ "Z": "output" }, "connections": { - "A": [ 1330 ], - "B": [ 43 ], - "C": [ 230 ], - "D": [ 33 ], - "Z": [ 1331 ] + "A": [ 1540 ], + "B": [ 173 ], + "C": [ 303 ], + "D": [ 24 ], + "Z": [ 1541 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19885": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21296": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39211,14 +43501,14 @@ "Z": "output" }, "connections": { - "A": [ 1332 ], - "B": [ 44 ], - "C": [ 230 ], - "D": [ 33 ], - "Z": [ 1333 ] + "A": [ 1542 ], + "B": [ 172 ], + "C": [ 285 ], + "D": [ 24 ], + "Z": [ 1543 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19886": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21297": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39236,14 +43526,14 @@ "Z": "output" }, "connections": { - "A": [ 1334 ], - "B": [ 41 ], - "C": [ 212 ], - "D": [ 33 ], - "Z": [ 1335 ] + "A": [ 1544 ], + "B": [ 170 ], + "C": [ 303 ], + "D": [ 24 ], + "Z": [ 1545 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19887": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21298": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39261,14 +43551,14 @@ "Z": "output" }, "connections": { - "A": [ 1336 ], - "B": [ 42 ], - "C": [ 212 ], - "D": [ 33 ], - "Z": [ 1337 ] + "A": [ 1546 ], + "B": [ 170 ], + "C": [ 313 ], + "D": [ 24 ], + "Z": [ 1547 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19888": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21299": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39286,14 +43576,14 @@ "Z": "output" }, "connections": { - "A": [ 1338 ], - "B": [ 43 ], - "C": [ 212 ], - "D": [ 33 ], - "Z": [ 1339 ] + "A": [ 1548 ], + "B": [ 173 ], + "C": [ 313 ], + "D": [ 24 ], + "Z": [ 1549 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19889": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21300": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39311,14 +43601,14 @@ "Z": "output" }, "connections": { - "A": [ 1340 ], - "B": [ 44 ], - "C": [ 212 ], - "D": [ 33 ], - "Z": [ 1341 ] + "A": [ 1550 ], + "B": [ 171 ], + "C": [ 303 ], + "D": [ 24 ], + "Z": [ 1551 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19890": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21301": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39336,14 +43626,14 @@ "Z": "output" }, "connections": { - "A": [ 1342 ], - "B": [ 41 ], - "C": [ 193 ], - "D": [ 33 ], - "Z": [ 1343 ] + "A": [ 1552 ], + "B": [ 171 ], + "C": [ 313 ], + "D": [ 24 ], + "Z": [ 1553 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19891": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21302": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39361,14 +43651,14 @@ "Z": "output" }, "connections": { - "A": [ 1344 ], - "B": [ 42 ], - "C": [ 193 ], - "D": [ 33 ], - "Z": [ 1345 ] + "A": [ 1554 ], + "B": [ 172 ], + "C": [ 313 ], + "D": [ 24 ], + "Z": [ 1555 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19892": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21303": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39386,14 +43676,14 @@ "Z": "output" }, "connections": { - "A": [ 1346 ], - "B": [ 43 ], - "C": [ 193 ], - "D": [ 33 ], - "Z": [ 1347 ] + "A": [ 1556 ], + "B": [ 170 ], + "C": [ 265 ], + "D": [ 24 ], + "Z": [ 1557 ] } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19893": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21304": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -39411,14 +43701,14 @@ "Z": "output" }, "connections": { - "A": [ 1348 ], - "B": [ 44 ], - "C": [ 193 ], - "D": [ 33 ], - "Z": [ 1349 ] + "A": [ 1558 ], + "B": [ 171 ], + "C": [ 265 ], + "D": [ 24 ], + "Z": [ 1559 ] } }, - "$auto$alumacc.cc:474:replace_alu$8220.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8669.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -39429,7 +43719,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:1004|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:1185|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -39448,19 +43738,19 @@ "connections": { "A0": [ "1" ], "A1": [ "0" ], - "B0": [ 65 ], - "B1": [ 67 ], + "B0": [ 239 ], + "B1": [ 240 ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "0" ], - "COUT": [ 1350 ], + "COUT": [ 1560 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 843 ], - "S1": [ 867 ] + "S0": [ 1236 ], + "S1": [ 1240 ] } }, - "$auto$alumacc.cc:474:replace_alu$8220.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8669.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -39471,7 +43761,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:1004|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:1185|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -39490,19 +43780,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 69 ], - "B1": [ 71 ], + "B0": [ 241 ], + "B1": [ "0" ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 1350 ], - "COUT": [ 1351 ], + "CIN": [ 1560 ], + "COUT": [ 1561 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 889 ], - "S1": [ 911 ] + "S0": [ 1244 ], + "S1": [ 1562 ] } }, - "$auto$alumacc.cc:474:replace_alu$8223.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8672.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -39513,7 +43803,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:1100|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:1199|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -39532,19 +43822,19 @@ "connections": { "A0": [ "1" ], "A1": [ "0" ], - "B0": [ 179 ], - "B1": [ 180 ], + "B0": [ 129 ], + "B1": [ 131 ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "0" ], - "COUT": [ 1352 ], + "COUT": [ 1563 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 1353 ], - "S1": [ 1354 ] + "S0": [ 1130 ], + "S1": [ 1156 ] } }, - "$auto$alumacc.cc:474:replace_alu$8223.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8672.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -39555,7 +43845,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:1100|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:1199|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -39574,19 +43864,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 181 ], - "B1": [ 182 ], + "B0": [ 125 ], + "B1": [ 127 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 1352 ], - "COUT": [ 1355 ], + "CIN": [ 1563 ], + "COUT": [ 1564 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 1356 ], - "S1": [ 1357 ] + "S0": [ 1178 ], + "S1": [ 1200 ] } }, - "$auto$alumacc.cc:474:replace_alu$8223.slice[4].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8675.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -39597,91 +43887,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:1100|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ "0" ], - "A1": [ "0" ], - "B0": [ 171 ], - "B1": [ 172 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1355 ], - "COUT": [ 1358 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 1359 ], - "S1": [ 1360 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8223.slice[6].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:1100|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ "0" ], - "A1": [ "0" ], - "B0": [ 173 ], - "B1": [ 174 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1358 ], - "COUT": [ 1361 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 1362 ], - "S1": [ 1363 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8226.slice[0].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:315|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:1295|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -39700,19 +43906,19 @@ "connections": { "A0": [ "1" ], "A1": [ "0" ], - "B0": [ 261 ], - "B1": [ 253 ], + "B0": [ 255 ], + "B1": [ 256 ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "0" ], - "COUT": [ 1364 ], + "COUT": [ 1565 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 327 ], - "S1": [ 351 ] + "S0": [ 1566 ], + "S1": [ 1567 ] } }, - "$auto$alumacc.cc:474:replace_alu$8226.slice[10].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8675.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -39723,175 +43929,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:315|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ "0" ], - "A1": [ "0" ], - "B0": [ 221 ], - "B1": [ 216 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1365 ], - "COUT": [ 1366 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 657 ], - "S1": [ 681 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8226.slice[12].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:315|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ "0" ], - "A1": [ "0" ], - "B0": [ 211 ], - "B1": [ 207 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1366 ], - "COUT": [ 1367 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 705 ], - "S1": [ 746 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8226.slice[14].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:315|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ "0" ], - "A1": [ "0" ], - "B0": [ 203 ], - "B1": [ 197 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1367 ], - "COUT": [ 1368 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 768 ], - "S1": [ 809 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8226.slice[2].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:315|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ "0" ], - "A1": [ "0" ], - "B0": [ 244 ], - "B1": [ 265 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1364 ], - "COUT": [ 1369 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 392 ], - "S1": [ 431 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8226.slice[4].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:315|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:1295|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -39911,18 +43949,18 @@ "A0": [ "0" ], "A1": [ "0" ], "B0": [ 257 ], - "B1": [ 249 ], + "B1": [ 258 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 1369 ], - "COUT": [ 1370 ], + "CIN": [ 1565 ], + "COUT": [ 1568 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 453 ], - "S1": [ 494 ] + "S0": [ 1569 ], + "S1": [ 1570 ] } }, - "$auto$alumacc.cc:474:replace_alu$8226.slice[6].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8675.slice[4].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -39933,7 +43971,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:315|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:1295|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -39952,19 +43990,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 239 ], - "B1": [ 234 ], + "B0": [ 247 ], + "B1": [ 248 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 1370 ], - "COUT": [ 1371 ], + "CIN": [ 1568 ], + "COUT": [ 1571 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 533 ], - "S1": [ 555 ] + "S0": [ 1572 ], + "S1": [ 1573 ] } }, - "$auto$alumacc.cc:474:replace_alu$8226.slice[8].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8675.slice[6].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -39975,7 +44013,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:315|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:1295|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -39994,19 +44032,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 229 ], - "B1": [ 225 ], + "B0": [ 249 ], + "B1": [ 250 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 1371 ], - "COUT": [ 1365 ], + "CIN": [ 1571 ], + "COUT": [ 1574 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 596 ], - "S1": [ 635 ] + "S0": [ 1575 ], + "S1": [ 1576 ] } }, - "$auto$alumacc.cc:474:replace_alu$8229.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8678.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -40017,343 +44055,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:748|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 260 ], - "A1": [ 252 ], - "B0": [ 1320 ], - "B1": [ 1324 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ "0" ], - "COUT": [ 1372 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 288 ], - "S1": [ 350 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8229.slice[10].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:748|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 220 ], - "A1": [ 215 ], - "B0": [ 1338 ], - "B1": [ 1340 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1373 ], - "COUT": [ 1374 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 656 ], - "S1": [ 680 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8229.slice[12].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:748|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 210 ], - "A1": [ 206 ], - "B0": [ 1342 ], - "B1": [ 1344 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1374 ], - "COUT": [ 1375 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 704 ], - "S1": [ 708 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8229.slice[14].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:748|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 202 ], - "A1": [ 196 ], - "B0": [ 1346 ], - "B1": [ 1348 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1375 ], - "COUT": [ 1376 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 767 ], - "S1": [ 771 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8229.slice[2].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:748|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 243 ], - "A1": [ 264 ], - "B0": [ 1328 ], - "B1": [ 1318 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1372 ], - "COUT": [ 1377 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 354 ], - "S1": [ 393 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8229.slice[4].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:748|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 256 ], - "A1": [ 248 ], - "B0": [ 1322 ], - "B1": [ 1326 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1377 ], - "COUT": [ 1378 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 452 ], - "S1": [ 456 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8229.slice[6].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:748|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 238 ], - "A1": [ 233 ], - "B0": [ 1330 ], - "B1": [ 1332 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1378 ], - "COUT": [ 1379 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 495 ], - "S1": [ 554 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8229.slice[8].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:748|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 228 ], - "A1": [ 224 ], - "B0": [ 1334 ], - "B1": [ 1336 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 1379 ], - "COUT": [ 1373 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 558 ], - "S1": [ 597 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$8232.slice[0].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:990|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:471|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -40372,19 +44074,19 @@ "connections": { "A0": [ "1" ], "A1": [ "0" ], - "B0": [ 166 ], - "B1": [ 164 ], + "B0": [ 334 ], + "B1": [ 326 ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "0" ], - "COUT": [ 1380 ], + "COUT": [ 1577 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 1061 ], - "S1": [ 1065 ] + "S0": [ 545 ], + "S1": [ 583 ] } }, - "$auto$alumacc.cc:474:replace_alu$8232.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8678.slice[10].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -40395,7 +44097,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:990|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:471|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -40414,19 +44116,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 165 ], - "B1": [ "0" ], + "B0": [ 294 ], + "B1": [ 289 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 1380 ], - "COUT": [ 1381 ], + "CIN": [ 1578 ], + "COUT": [ 1579 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 1069 ], - "S1": [ 1382 ] + "S0": [ 925 ], + "S1": [ 963 ] } }, - "$auto$alumacc.cc:474:replace_alu$8235.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8678.slice[12].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -40437,7 +44139,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:396|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:471|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -40454,21 +44156,609 @@ "S1": "output" }, "connections": { - "A0": [ 166 ], - "A1": [ 164 ], + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 284 ], + "B1": [ 280 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1579 ], + "COUT": [ 1580 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1001 ], + "S1": [ 1039 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8678.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:471|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 276 ], + "B1": [ 269 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1580 ], + "COUT": [ 1581 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1077 ], + "S1": [ 1115 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8678.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:471|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 317 ], + "B1": [ 338 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1577 ], + "COUT": [ 1582 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 621 ], + "S1": [ 659 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8678.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:471|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 330 ], + "B1": [ 322 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1582 ], + "COUT": [ 1583 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 697 ], + "S1": [ 735 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8678.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:471|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 312 ], + "B1": [ 307 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1583 ], + "COUT": [ 1584 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 773 ], + "S1": [ 811 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8678.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:471|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 302 ], + "B1": [ 298 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1584 ], + "COUT": [ 1578 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 849 ], + "S1": [ 887 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8687.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:943|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1552 ], + "A1": [ 1546 ], + "B0": [ 333 ], + "B1": [ 325 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 1585 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 505 ], + "S1": [ 546 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8687.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:943|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1534 ], + "A1": [ 1542 ], + "B0": [ 293 ], + "B1": [ 288 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1586 ], + "COUT": [ 1587 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 888 ], + "S1": [ 926 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8687.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:943|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1558 ], + "A1": [ 1556 ], + "B0": [ 283 ], + "B1": [ 279 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1587 ], + "COUT": [ 1588 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 964 ], + "S1": [ 1002 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8687.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:943|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1528 ], + "A1": [ 1538 ], + "B0": [ 275 ], + "B1": [ 268 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1588 ], + "COUT": [ 1589 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1040 ], + "S1": [ 1078 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8687.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:943|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1548 ], + "A1": [ 1554 ], + "B0": [ 316 ], + "B1": [ 337 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1585 ], + "COUT": [ 1590 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 584 ], + "S1": [ 622 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8687.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:943|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1550 ], + "A1": [ 1544 ], + "B0": [ 329 ], + "B1": [ 321 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1590 ], + "COUT": [ 1591 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 660 ], + "S1": [ 698 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8687.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:943|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1540 ], + "A1": [ 1536 ], + "B0": [ 311 ], + "B1": [ 306 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1591 ], + "COUT": [ 1592 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 736 ], + "S1": [ 774 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8687.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:943|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1532 ], + "A1": [ 1530 ], + "B0": [ 301 ], + "B1": [ 297 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1592 ], + "COUT": [ 1586 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 812 ], + "S1": [ 850 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$8690.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:556|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 239 ], + "A1": [ 240 ], "B0": [ "0" ], "B1": [ "1" ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "1" ], - "COUT": [ 1383 ], + "COUT": [ 1593 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 1064 ], - "S1": [ 1068 ] + "S0": [ 1239 ], + "S1": [ 1243 ] } }, - "$auto$alumacc.cc:474:replace_alu$8235.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$8690.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -40479,7 +44769,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:396|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:556|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -40496,21 +44786,21 @@ "S1": "output" }, "connections": { - "A0": [ 165 ], + "A0": [ 241 ], "A1": [ "0" ], "B0": [ "1" ], "B1": [ "1" ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 1383 ], - "COUT": [ 1384 ], + "CIN": [ 1593 ], + "COUT": [ 1594 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 1072 ], - "S1": [ 1385 ] + "S0": [ 1247 ], + "S1": [ 1595 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10080": { + "$auto$simplemap.cc:420:simplemap_dff$11794": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40532,12 +44822,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 24 ], + "DI": [ 261 ], "LSR": [ "0" ], - "Q": [ 51 ] + "Q": [ 1314 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10081": { + "$auto$simplemap.cc:420:simplemap_dff$11834": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40559,12 +44849,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1301 ], + "DI": [ 262 ], "LSR": [ "0" ], - "Q": [ 107 ] + "Q": [ 1319 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10082": { + "$auto$simplemap.cc:420:simplemap_dff$11835": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40586,12 +44876,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1296 ], + "DI": [ 1400 ], "LSR": [ "0" ], - "Q": [ 115 ] + "Q": [ 123 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10083": { + "$auto$simplemap.cc:420:simplemap_dff$11836": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40613,12 +44903,93 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1295 ], + "DI": [ 1473 ], + "LSR": [ "0" ], + "Q": [ 142 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11837": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 414 ], + "LSR": [ "0" ], + "Q": [ 24 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11838": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1391 ], + "LSR": [ "0" ], + "Q": [ 124 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11839": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1475 ], "LSR": [ "0" ], "Q": [ 112 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10084": { + "$auto$simplemap.cc:420:simplemap_dff$11840": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40640,12 +45011,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1308 ], + "DI": [ 1479 ], "LSR": [ "0" ], - "Q": [ 37 ] + "Q": [ 133 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10085": { + "$auto$simplemap.cc:420:simplemap_dff$11841": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40667,12 +45038,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1305 ], + "DI": [ 1492 ], "LSR": [ "0" ], - "Q": [ 85 ] + "Q": [ 122 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10086": { + "$auto$simplemap.cc:420:simplemap_dff$11842": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40694,12 +45065,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1311 ], + "DI": [ 1524 ], "LSR": [ "0" ], - "Q": [ 34 ] + "Q": [ 149 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10087": { + "$auto$simplemap.cc:420:simplemap_dff$11843": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40721,12 +45092,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1288 ], + "DI": [ 1476 ], "LSR": [ "0" ], - "Q": [ 35 ] + "Q": [ 121 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10088": { + "$auto$simplemap.cc:420:simplemap_dff$11844": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40748,12 +45119,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1099 ], + "DI": [ 25 ], "LSR": [ "0" ], - "Q": [ 93 ] + "Q": [ 32 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10089": { + "$auto$simplemap.cc:420:simplemap_dff$11909": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40775,12 +45146,476 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1078 ], + "DI": [ 263 ], "LSR": [ "0" ], - "Q": [ 86 ] + "Q": [ 1318 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10090": { + "$auto$simplemap.cc:420:simplemap_dff$12589": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 452 ], + "LSR": [ "0" ], + "Q": [ 538 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12590": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 453 ], + "LSR": [ "0" ], + "Q": [ 576 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12591": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 454 ], + "LSR": [ "0" ], + "Q": [ 614 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12592": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 455 ], + "LSR": [ "0" ], + "Q": [ 652 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12593": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 456 ], + "LSR": [ "0" ], + "Q": [ 690 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12594": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 457 ], + "LSR": [ "0" ], + "Q": [ 728 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12595": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 458 ], + "LSR": [ "0" ], + "Q": [ 766 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12596": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 459 ], + "LSR": [ "0" ], + "Q": [ 804 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12597": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 460 ], + "LSR": [ "0" ], + "Q": [ 842 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12598": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 461 ], + "LSR": [ "0" ], + "Q": [ 880 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12599": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 462 ], + "LSR": [ "0" ], + "Q": [ 914 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12600": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 463 ], + "LSR": [ "0" ], + "Q": [ 956 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12601": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 464 ], + "LSR": [ "0" ], + "Q": [ 994 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12602": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 465 ], + "LSR": [ "0" ], + "Q": [ 1032 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12603": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 466 ], + "LSR": [ "0" ], + "Q": [ 1066 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12604": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1345 ], + "CLK": [ 2 ], + "DI": [ 467 ], + "LSR": [ "0" ], + "Q": [ 1108 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14384": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40802,147 +45637,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1075 ], + "DI": [ 1238 ], "LSR": [ "0" ], - "Q": [ 96 ] + "Q": [ 239 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10091": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1300 ], - "LSR": [ "0" ], - "Q": [ 54 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10092": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1316 ], - "LSR": [ "0" ], - "Q": [ 53 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10093": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1285 ], - "LSR": [ "0" ], - "Q": [ 97 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10094": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1279 ], - "LSR": [ "0" ], - "Q": [ 87 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10095": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1282 ], - "LSR": [ "0" ], - "Q": [ 52 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10096": { + "$auto$simplemap.cc:420:simplemap_dff$14385": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40966,10 +45666,10 @@ "CLK": [ 2 ], "DI": [ 1242 ], "LSR": [ "0" ], - "Q": [ 73 ] + "Q": [ 240 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10097": { + "$auto$simplemap.cc:420:simplemap_dff$14386": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -40991,201 +45691,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1237 ], + "DI": [ 1246 ], "LSR": [ "0" ], - "Q": [ 99 ] + "Q": [ 241 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10098": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 284 ], - "LSR": [ "0" ], - "Q": [ 63 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10099": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1287 ], - "LSR": [ "0" ], - "Q": [ 98 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10100": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1313 ], - "LSR": [ "0" ], - "Q": [ 40 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10101": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1294 ], - "LSR": [ "0" ], - "Q": [ 58 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10102": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1233 ], - "LSR": [ "0" ], - "Q": [ 88 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10103": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1231 ], - "LSR": [ "0" ], - "Q": [ 55 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10104": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1215 ], - "LSR": [ "0" ], - "Q": [ 64 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10189": { + "$auto$simplemap.cc:420:simplemap_dff$14449": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41207,14 +45718,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1164 ], + "DI": [ 419 ], "LSR": [ "0" ], - "Q": [ 322 ] + "Q": [ 534 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10190": { + "$auto$simplemap.cc:420:simplemap_dff$14450": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41236,14 +45747,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1165 ], + "DI": [ 420 ], "LSR": [ "0" ], - "Q": [ 349 ] + "Q": [ 571 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10191": { + "$auto$simplemap.cc:420:simplemap_dff$14451": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41265,14 +45776,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1166 ], + "DI": [ 421 ], "LSR": [ "0" ], - "Q": [ 387 ] + "Q": [ 610 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10192": { + "$auto$simplemap.cc:420:simplemap_dff$14452": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41294,14 +45805,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1167 ], + "DI": [ 422 ], "LSR": [ "0" ], - "Q": [ 426 ] + "Q": [ 648 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10193": { + "$auto$simplemap.cc:420:simplemap_dff$14453": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41323,14 +45834,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1168 ], + "DI": [ 423 ], "LSR": [ "0" ], - "Q": [ 451 ] + "Q": [ 686 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10194": { + "$auto$simplemap.cc:420:simplemap_dff$14454": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41352,14 +45863,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1169 ], + "DI": [ 424 ], "LSR": [ "0" ], - "Q": [ 489 ] + "Q": [ 723 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10195": { + "$auto$simplemap.cc:420:simplemap_dff$14455": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41381,14 +45892,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1170 ], + "DI": [ 425 ], "LSR": [ "0" ], - "Q": [ 528 ] + "Q": [ 762 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10196": { + "$auto$simplemap.cc:420:simplemap_dff$14456": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41410,14 +45921,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1171 ], + "DI": [ 426 ], "LSR": [ "0" ], - "Q": [ 553 ] + "Q": [ 800 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10197": { + "$auto$simplemap.cc:420:simplemap_dff$14457": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41439,14 +45950,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1172 ], + "DI": [ 427 ], "LSR": [ "0" ], - "Q": [ 591 ] + "Q": [ 838 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10198": { + "$auto$simplemap.cc:420:simplemap_dff$14458": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41468,14 +45979,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1173 ], + "DI": [ 428 ], "LSR": [ "0" ], - "Q": [ 630 ] + "Q": [ 875 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10199": { + "$auto$simplemap.cc:420:simplemap_dff$14459": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41497,14 +46008,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1174 ], + "DI": [ 429 ], "LSR": [ "0" ], - "Q": [ 655 ] + "Q": [ 919 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10200": { + "$auto$simplemap.cc:420:simplemap_dff$14460": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41526,14 +46037,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1175 ], + "DI": [ 430 ], "LSR": [ "0" ], - "Q": [ 679 ] + "Q": [ 952 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10201": { + "$auto$simplemap.cc:420:simplemap_dff$14461": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41555,14 +46066,14 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1176 ], + "DI": [ 431 ], "LSR": [ "0" ], - "Q": [ 703 ] + "Q": [ 990 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$10202": { + "$auto$simplemap.cc:420:simplemap_dff$14462": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -41584,803 +46095,11 @@ "Q": "output" }, "connections": { - "CE": [ 170 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1177 ], + "DI": [ 432 ], "LSR": [ "0" ], - "Q": [ 741 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10203": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 170 ], - "CLK": [ 2 ], - "DI": [ 1178 ], - "LSR": [ "0" ], - "Q": [ 766 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10204": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 170 ], - "CLK": [ 2 ], - "DI": [ 1179 ], - "LSR": [ "0" ], - "Q": [ 804 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10476": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 186 ], - "LSR": [ "0" ], - "Q": [ 1186 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10800": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 958 ], - "LSR": [ "0" ], - "Q": [ 145 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10801": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1291 ], - "LSR": [ "0" ], - "Q": [ 33 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10802": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1286 ], - "LSR": [ "0" ], - "Q": [ 142 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10803": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1218 ], - "LSR": [ "0" ], - "Q": [ 46 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10804": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1252 ], - "LSR": [ "0" ], - "Q": [ 141 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10805": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1290 ], - "LSR": [ "0" ], - "Q": [ 140 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10806": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1245 ], - "LSR": [ "0" ], - "Q": [ 139 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$10807": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 119 ], - "LSR": [ "0" ], - "Q": [ 36 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14189": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1148 ], - "LSR": [ "0" ], - "Q": [ 317 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14190": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1149 ], - "LSR": [ "0" ], - "Q": [ 336 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14191": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1150 ], - "LSR": [ "0" ], - "Q": [ 381 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14192": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1151 ], - "LSR": [ "0" ], - "Q": [ 420 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14193": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1152 ], - "LSR": [ "0" ], - "Q": [ 439 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14194": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1153 ], - "LSR": [ "0" ], - "Q": [ 483 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14195": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1154 ], - "LSR": [ "0" ], - "Q": [ 522 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14196": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1155 ], - "LSR": [ "0" ], - "Q": [ 541 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14197": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1156 ], - "LSR": [ "0" ], - "Q": [ 585 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14198": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1157 ], - "LSR": [ "0" ], - "Q": [ 624 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14199": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1158 ], - "LSR": [ "0" ], - "Q": [ 643 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14200": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1159 ], - "LSR": [ "0" ], - "Q": [ 667 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14201": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1160 ], - "LSR": [ "0" ], - "Q": [ 691 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14202": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1161 ], - "LSR": [ "0" ], - "Q": [ 735 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14203": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1162 ], - "LSR": [ "0" ], - "Q": [ 754 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14204": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1213 ], - "CLK": [ 2 ], - "DI": [ 1163 ], - "LSR": [ "0" ], - "Q": [ 798 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14390": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 185 ], - "LSR": [ "0" ], - "Q": [ 1185 ] + "Q": [ 1028 ] } }, "$auto$simplemap.cc:420:simplemap_dff$14463": { @@ -42405,11 +46124,11 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1082 ], + "DI": [ 433 ], "LSR": [ "0" ], - "Q": [ 326 ] + "Q": [ 1071 ] } }, "$auto$simplemap.cc:420:simplemap_dff$14464": { @@ -42434,14 +46153,14 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 1323 ], "CLK": [ 2 ], - "DI": [ 1083 ], + "DI": [ 434 ], "LSR": [ "0" ], - "Q": [ 340 ] + "Q": [ 1104 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14465": { + "$auto$simplemap.cc:420:simplemap_dff$14503": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -42463,14 +46182,14 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 396 ], "CLK": [ 2 ], - "DI": [ 1084 ], + "DI": [ 379 ], "LSR": [ "0" ], - "Q": [ 391 ] + "Q": [ 533 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14466": { + "$auto$simplemap.cc:420:simplemap_dff$14504": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -42492,14 +46211,14 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 396 ], "CLK": [ 2 ], - "DI": [ 1085 ], + "DI": [ 380 ], "LSR": [ "0" ], - "Q": [ 430 ] + "Q": [ 577 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14467": { + "$auto$simplemap.cc:420:simplemap_dff$14505": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -42521,14 +46240,14 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 396 ], "CLK": [ 2 ], - "DI": [ 1086 ], + "DI": [ 381 ], "LSR": [ "0" ], - "Q": [ 443 ] + "Q": [ 609 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14468": { + "$auto$simplemap.cc:420:simplemap_dff$14506": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -42550,159 +46269,14 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 396 ], "CLK": [ 2 ], - "DI": [ 1087 ], - "LSR": [ "0" ], - "Q": [ 493 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14469": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1235 ], - "CLK": [ 2 ], - "DI": [ 1088 ], - "LSR": [ "0" ], - "Q": [ 532 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14470": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1235 ], - "CLK": [ 2 ], - "DI": [ 1089 ], - "LSR": [ "0" ], - "Q": [ 545 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14471": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1235 ], - "CLK": [ 2 ], - "DI": [ 1090 ], - "LSR": [ "0" ], - "Q": [ 595 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14472": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1235 ], - "CLK": [ 2 ], - "DI": [ 1091 ], - "LSR": [ "0" ], - "Q": [ 634 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14473": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1235 ], - "CLK": [ 2 ], - "DI": [ 1092 ], + "DI": [ 382 ], "LSR": [ "0" ], "Q": [ 647 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14474": { + "$auto$simplemap.cc:420:simplemap_dff$14507": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -42724,14 +46298,14 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 396 ], "CLK": [ 2 ], - "DI": [ 1093 ], + "DI": [ 383 ], "LSR": [ "0" ], - "Q": [ 671 ] + "Q": [ 685 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14475": { + "$auto$simplemap.cc:420:simplemap_dff$14508": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -42753,14 +46327,14 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 396 ], "CLK": [ 2 ], - "DI": [ 1094 ], + "DI": [ 384 ], "LSR": [ "0" ], - "Q": [ 695 ] + "Q": [ 729 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14476": { + "$auto$simplemap.cc:420:simplemap_dff$14509": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -42782,14 +46356,14 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 396 ], "CLK": [ 2 ], - "DI": [ 1095 ], + "DI": [ 385 ], "LSR": [ "0" ], - "Q": [ 745 ] + "Q": [ 761 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14477": { + "$auto$simplemap.cc:420:simplemap_dff$14510": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -42811,14 +46385,14 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 396 ], "CLK": [ 2 ], - "DI": [ 1096 ], + "DI": [ 386 ], "LSR": [ "0" ], - "Q": [ 758 ] + "Q": [ 799 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14478": { + "$auto$simplemap.cc:420:simplemap_dff$14511": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -42840,11 +46414,968 @@ "Q": "output" }, "connections": { - "CE": [ 1235 ], + "CE": [ 396 ], "CLK": [ 2 ], - "DI": [ 1097 ], + "DI": [ 387 ], "LSR": [ "0" ], - "Q": [ 808 ] + "Q": [ 837 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14512": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 396 ], + "CLK": [ 2 ], + "DI": [ 388 ], + "LSR": [ "0" ], + "Q": [ 881 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14513": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 396 ], + "CLK": [ 2 ], + "DI": [ 389 ], + "LSR": [ "0" ], + "Q": [ 918 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14514": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 396 ], + "CLK": [ 2 ], + "DI": [ 390 ], + "LSR": [ "0" ], + "Q": [ 951 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14515": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 396 ], + "CLK": [ 2 ], + "DI": [ 391 ], + "LSR": [ "0" ], + "Q": [ 995 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14516": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 396 ], + "CLK": [ 2 ], + "DI": [ 392 ], + "LSR": [ "0" ], + "Q": [ 1033 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14517": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 396 ], + "CLK": [ 2 ], + "DI": [ 393 ], + "LSR": [ "0" ], + "Q": [ 1070 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14518": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 396 ], + "CLK": [ 2 ], + "DI": [ 394 ], + "LSR": [ "0" ], + "Q": [ 1103 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14570": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 397 ], + "LSR": [ "0" ], + "Q": [ 539 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14571": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 398 ], + "LSR": [ "0" ], + "Q": [ 578 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14572": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 399 ], + "LSR": [ "0" ], + "Q": [ 615 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14573": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 400 ], + "LSR": [ "0" ], + "Q": [ 653 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14574": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 401 ], + "LSR": [ "0" ], + "Q": [ 691 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14575": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 402 ], + "LSR": [ "0" ], + "Q": [ 730 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14576": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 403 ], + "LSR": [ "0" ], + "Q": [ 767 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14577": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 404 ], + "LSR": [ "0" ], + "Q": [ 805 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14578": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 405 ], + "LSR": [ "0" ], + "Q": [ 843 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14579": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 406 ], + "LSR": [ "0" ], + "Q": [ 882 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14580": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 407 ], + "LSR": [ "0" ], + "Q": [ 912 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14581": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 408 ], + "LSR": [ "0" ], + "Q": [ 957 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14582": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 409 ], + "LSR": [ "0" ], + "Q": [ 996 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14583": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 410 ], + "LSR": [ "0" ], + "Q": [ 1034 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14584": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 411 ], + "LSR": [ "0" ], + "Q": [ 1064 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14585": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 245 ], + "CLK": [ 2 ], + "DI": [ 412 ], + "LSR": [ "0" ], + "Q": [ 1109 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14674": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 362 ], + "LSR": [ "0" ], + "Q": [ 540 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14675": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 363 ], + "LSR": [ "0" ], + "Q": [ 572 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14676": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 364 ], + "LSR": [ "0" ], + "Q": [ 616 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14677": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 365 ], + "LSR": [ "0" ], + "Q": [ 654 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14678": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 366 ], + "LSR": [ "0" ], + "Q": [ 692 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14679": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 367 ], + "LSR": [ "0" ], + "Q": [ 724 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14680": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 368 ], + "LSR": [ "0" ], + "Q": [ 768 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14681": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 369 ], + "LSR": [ "0" ], + "Q": [ 806 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14682": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 370 ], + "LSR": [ "0" ], + "Q": [ 844 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14683": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 238 ], + "CLK": [ 2 ], + "DI": [ 371 ], + "LSR": [ "0" ], + "Q": [ 876 ] } }, "$auto$simplemap.cc:420:simplemap_dff$14684": { @@ -42869,11 +47400,11 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 238 ], "CLK": [ 2 ], - "DI": [ 930 ], + "DI": [ 372 ], "LSR": [ "0" ], - "Q": [ 313 ] + "Q": [ 920 ] } }, "$auto$simplemap.cc:420:simplemap_dff$14685": { @@ -42898,11 +47429,11 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 238 ], "CLK": [ 2 ], - "DI": [ 931 ], + "DI": [ 373 ], "LSR": [ "0" ], - "Q": [ 347 ] + "Q": [ 958 ] } }, "$auto$simplemap.cc:420:simplemap_dff$14686": { @@ -42927,11 +47458,11 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 238 ], "CLK": [ 2 ], - "DI": [ 932 ], + "DI": [ 374 ], "LSR": [ "0" ], - "Q": [ 378 ] + "Q": [ 989 ] } }, "$auto$simplemap.cc:420:simplemap_dff$14687": { @@ -42956,11 +47487,11 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 238 ], "CLK": [ 2 ], - "DI": [ 933 ], + "DI": [ 375 ], "LSR": [ "0" ], - "Q": [ 417 ] + "Q": [ 1027 ] } }, "$auto$simplemap.cc:420:simplemap_dff$14688": { @@ -42985,11 +47516,11 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 238 ], "CLK": [ 2 ], - "DI": [ 934 ], + "DI": [ 376 ], "LSR": [ "0" ], - "Q": [ 449 ] + "Q": [ 1072 ] } }, "$auto$simplemap.cc:420:simplemap_dff$14689": { @@ -43014,14 +47545,14 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 238 ], "CLK": [ 2 ], - "DI": [ 935 ], + "DI": [ 377 ], "LSR": [ "0" ], - "Q": [ 480 ] + "Q": [ 1110 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14690": { + "$auto$simplemap.cc:420:simplemap_dff$14769": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -43043,14 +47574,14 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 243 ], "CLK": [ 2 ], - "DI": [ 936 ], + "DI": [ 435 ], "LSR": [ "0" ], - "Q": [ 519 ] + "Q": [ 532 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14691": { + "$auto$simplemap.cc:420:simplemap_dff$14770": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -43072,14 +47603,14 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 243 ], "CLK": [ 2 ], - "DI": [ 937 ], + "DI": [ 436 ], "LSR": [ "0" ], - "Q": [ 551 ] + "Q": [ 570 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14692": { + "$auto$simplemap.cc:420:simplemap_dff$14771": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -43101,14 +47632,14 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 243 ], "CLK": [ 2 ], - "DI": [ 938 ], + "DI": [ 437 ], "LSR": [ "0" ], - "Q": [ 582 ] + "Q": [ 608 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$14693": { + "$auto$simplemap.cc:420:simplemap_dff$14772": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -43130,1543 +47661,14 @@ "Q": "output" }, "connections": { - "CE": [ 1236 ], + "CE": [ 243 ], "CLK": [ 2 ], - "DI": [ 939 ], - "LSR": [ "0" ], - "Q": [ 621 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14694": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1236 ], - "CLK": [ 2 ], - "DI": [ 940 ], - "LSR": [ "0" ], - "Q": [ 653 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14695": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1236 ], - "CLK": [ 2 ], - "DI": [ 941 ], - "LSR": [ "0" ], - "Q": [ 677 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14696": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1236 ], - "CLK": [ 2 ], - "DI": [ 942 ], - "LSR": [ "0" ], - "Q": [ 701 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14697": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1236 ], - "CLK": [ 2 ], - "DI": [ 943 ], - "LSR": [ "0" ], - "Q": [ 732 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14698": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1236 ], - "CLK": [ 2 ], - "DI": [ 944 ], - "LSR": [ "0" ], - "Q": [ 764 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14699": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1236 ], - "CLK": [ 2 ], - "DI": [ 945 ], - "LSR": [ "0" ], - "Q": [ 795 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14892": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1116 ], - "LSR": [ "0" ], - "Q": [ 315 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14893": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1117 ], - "LSR": [ "0" ], - "Q": [ 348 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14894": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1118 ], - "LSR": [ "0" ], - "Q": [ 380 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14895": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1119 ], - "LSR": [ "0" ], - "Q": [ 419 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14896": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1120 ], - "LSR": [ "0" ], - "Q": [ 450 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14897": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1121 ], - "LSR": [ "0" ], - "Q": [ 482 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14898": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1122 ], - "LSR": [ "0" ], - "Q": [ 521 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14899": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1123 ], - "LSR": [ "0" ], - "Q": [ 552 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14900": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1124 ], - "LSR": [ "0" ], - "Q": [ 584 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14901": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1125 ], - "LSR": [ "0" ], - "Q": [ 623 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14902": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1126 ], - "LSR": [ "0" ], - "Q": [ 654 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14903": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1127 ], - "LSR": [ "0" ], - "Q": [ 678 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14904": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1128 ], - "LSR": [ "0" ], - "Q": [ 702 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14905": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1129 ], - "LSR": [ "0" ], - "Q": [ 734 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14906": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1130 ], - "LSR": [ "0" ], - "Q": [ 765 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14907": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1234 ], - "CLK": [ 2 ], - "DI": [ 1131 ], - "LSR": [ "0" ], - "Q": [ 797 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14953": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 266 ], - "LSR": [ "0" ], - "Q": [ 318 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14954": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 267 ], - "LSR": [ "0" ], - "Q": [ 338 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14955": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 268 ], - "LSR": [ "0" ], - "Q": [ 379 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14956": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 269 ], - "LSR": [ "0" ], - "Q": [ 418 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14957": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 270 ], - "LSR": [ "0" ], - "Q": [ 441 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14958": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 271 ], - "LSR": [ "0" ], - "Q": [ 481 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14959": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 272 ], - "LSR": [ "0" ], - "Q": [ 520 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14960": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 273 ], - "LSR": [ "0" ], - "Q": [ 543 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14961": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 274 ], - "LSR": [ "0" ], - "Q": [ 583 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14962": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 275 ], - "LSR": [ "0" ], - "Q": [ 622 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14963": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 276 ], - "LSR": [ "0" ], - "Q": [ 645 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14964": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 277 ], - "LSR": [ "0" ], - "Q": [ 669 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14965": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 278 ], - "LSR": [ "0" ], - "Q": [ 693 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14966": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 279 ], - "LSR": [ "0" ], - "Q": [ 733 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14967": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 280 ], - "LSR": [ "0" ], - "Q": [ 756 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$14968": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 168 ], - "CLK": [ 2 ], - "DI": [ 281 ], - "LSR": [ "0" ], - "Q": [ 796 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15067": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1063 ], - "LSR": [ "0" ], - "Q": [ 166 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15068": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1067 ], - "LSR": [ "0" ], - "Q": [ 164 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15069": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 1071 ], - "LSR": [ "0" ], - "Q": [ 165 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15200": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 187 ], - "LSR": [ "0" ], - "Q": [ 1181 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15950": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1100 ], - "LSR": [ "0" ], - "Q": [ 319 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15951": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1101 ], - "LSR": [ "0" ], - "Q": [ 339 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15952": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1102 ], - "LSR": [ "0" ], - "Q": [ 385 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15953": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1103 ], - "LSR": [ "0" ], - "Q": [ 424 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15954": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1104 ], - "LSR": [ "0" ], - "Q": [ 442 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15955": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1105 ], - "LSR": [ "0" ], - "Q": [ 487 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15956": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1106 ], - "LSR": [ "0" ], - "Q": [ 526 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15957": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1107 ], - "LSR": [ "0" ], - "Q": [ 544 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15958": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1108 ], - "LSR": [ "0" ], - "Q": [ 589 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15959": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1109 ], - "LSR": [ "0" ], - "Q": [ 628 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$15960": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 163 ], - "CLK": [ 2 ], - "DI": [ 1110 ], + "DI": [ 438 ], "LSR": [ "0" ], "Q": [ 646 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$15961": { + "$auto$simplemap.cc:420:simplemap_dff$14773": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -44688,14 +47690,14 @@ "Q": "output" }, "connections": { - "CE": [ 163 ], + "CE": [ 243 ], "CLK": [ 2 ], - "DI": [ 1111 ], + "DI": [ 439 ], "LSR": [ "0" ], - "Q": [ 670 ] + "Q": [ 684 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$15962": { + "$auto$simplemap.cc:420:simplemap_dff$14774": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -44717,14 +47719,14 @@ "Q": "output" }, "connections": { - "CE": [ 163 ], + "CE": [ 243 ], "CLK": [ 2 ], - "DI": [ 1112 ], + "DI": [ 440 ], "LSR": [ "0" ], - "Q": [ 694 ] + "Q": [ 722 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$15963": { + "$auto$simplemap.cc:420:simplemap_dff$14775": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -44746,14 +47748,14 @@ "Q": "output" }, "connections": { - "CE": [ 163 ], + "CE": [ 243 ], "CLK": [ 2 ], - "DI": [ 1113 ], + "DI": [ 441 ], "LSR": [ "0" ], - "Q": [ 739 ] + "Q": [ 760 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$15964": { + "$auto$simplemap.cc:420:simplemap_dff$14776": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -44775,14 +47777,14 @@ "Q": "output" }, "connections": { - "CE": [ 163 ], + "CE": [ 243 ], "CLK": [ 2 ], - "DI": [ 1114 ], + "DI": [ 442 ], "LSR": [ "0" ], - "Q": [ 757 ] + "Q": [ 798 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$15965": { + "$auto$simplemap.cc:420:simplemap_dff$14777": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -44804,11 +47806,939 @@ "Q": "output" }, "connections": { - "CE": [ 163 ], + "CE": [ 243 ], "CLK": [ 2 ], - "DI": [ 1115 ], + "DI": [ 443 ], "LSR": [ "0" ], - "Q": [ 802 ] + "Q": [ 836 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14778": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 243 ], + "CLK": [ 2 ], + "DI": [ 444 ], + "LSR": [ "0" ], + "Q": [ 874 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14779": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 243 ], + "CLK": [ 2 ], + "DI": [ 445 ], + "LSR": [ "0" ], + "Q": [ 913 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14780": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 243 ], + "CLK": [ 2 ], + "DI": [ 446 ], + "LSR": [ "0" ], + "Q": [ 950 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14781": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 243 ], + "CLK": [ 2 ], + "DI": [ 447 ], + "LSR": [ "0" ], + "Q": [ 988 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14782": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 243 ], + "CLK": [ 2 ], + "DI": [ 448 ], + "LSR": [ "0" ], + "Q": [ 1026 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14783": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 243 ], + "CLK": [ 2 ], + "DI": [ 449 ], + "LSR": [ "0" ], + "Q": [ 1065 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$14784": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 243 ], + "CLK": [ 2 ], + "DI": [ 450 ], + "LSR": [ "0" ], + "Q": [ 1102 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15922": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1297 ], + "LSR": [ "0" ], + "Q": [ 537 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15923": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1298 ], + "LSR": [ "0" ], + "Q": [ 575 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15924": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1299 ], + "LSR": [ "0" ], + "Q": [ 613 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15925": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1300 ], + "LSR": [ "0" ], + "Q": [ 651 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15926": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1301 ], + "LSR": [ "0" ], + "Q": [ 689 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15927": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1302 ], + "LSR": [ "0" ], + "Q": [ 727 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15928": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1303 ], + "LSR": [ "0" ], + "Q": [ 765 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15929": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1304 ], + "LSR": [ "0" ], + "Q": [ 803 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15930": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1305 ], + "LSR": [ "0" ], + "Q": [ 841 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15931": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1306 ], + "LSR": [ "0" ], + "Q": [ 879 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15932": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1307 ], + "LSR": [ "0" ], + "Q": [ 917 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15933": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1308 ], + "LSR": [ "0" ], + "Q": [ 955 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15934": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1309 ], + "LSR": [ "0" ], + "Q": [ 993 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15935": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1310 ], + "LSR": [ "0" ], + "Q": [ 1031 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15936": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1311 ], + "LSR": [ "0" ], + "Q": [ 1069 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$15937": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1392 ], + "CLK": [ 2 ], + "DI": [ 1312 ], + "LSR": [ "0" ], + "Q": [ 1107 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$16279": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 395 ], + "CLK": [ 2 ], + "DI": [ 346 ], + "LSR": [ "0" ], + "Q": [ 544 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$16280": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 395 ], + "CLK": [ 2 ], + "DI": [ 347 ], + "LSR": [ "0" ], + "Q": [ 582 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$16281": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 395 ], + "CLK": [ 2 ], + "DI": [ 348 ], + "LSR": [ "0" ], + "Q": [ 620 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$16282": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 395 ], + "CLK": [ 2 ], + "DI": [ 349 ], + "LSR": [ "0" ], + "Q": [ 658 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$16283": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 395 ], + "CLK": [ 2 ], + "DI": [ 350 ], + "LSR": [ "0" ], + "Q": [ 696 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$16284": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 395 ], + "CLK": [ 2 ], + "DI": [ 351 ], + "LSR": [ "0" ], + "Q": [ 734 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$16285": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 395 ], + "CLK": [ 2 ], + "DI": [ 352 ], + "LSR": [ "0" ], + "Q": [ 772 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$16286": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 395 ], + "CLK": [ 2 ], + "DI": [ 353 ], + "LSR": [ "0" ], + "Q": [ 810 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$16287": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 395 ], + "CLK": [ 2 ], + "DI": [ 354 ], + "LSR": [ "0" ], + "Q": [ 848 ] } }, "$auto$simplemap.cc:420:simplemap_dff$16288": { @@ -44833,11 +48763,11 @@ "Q": "output" }, "connections": { - "CE": [ 1211 ], + "CE": [ 395 ], "CLK": [ 2 ], - "DI": [ 1132 ], + "DI": [ 355 ], "LSR": [ "0" ], - "Q": [ 320 ] + "Q": [ 886 ] } }, "$auto$simplemap.cc:420:simplemap_dff$16289": { @@ -44862,11 +48792,11 @@ "Q": "output" }, "connections": { - "CE": [ 1211 ], + "CE": [ 395 ], "CLK": [ 2 ], - "DI": [ 1133 ], + "DI": [ 356 ], "LSR": [ "0" ], - "Q": [ 346 ] + "Q": [ 924 ] } }, "$auto$simplemap.cc:420:simplemap_dff$16290": { @@ -44891,11 +48821,11 @@ "Q": "output" }, "connections": { - "CE": [ 1211 ], + "CE": [ 395 ], "CLK": [ 2 ], - "DI": [ 1134 ], + "DI": [ 357 ], "LSR": [ "0" ], - "Q": [ 386 ] + "Q": [ 962 ] } }, "$auto$simplemap.cc:420:simplemap_dff$16291": { @@ -44920,11 +48850,11 @@ "Q": "output" }, "connections": { - "CE": [ 1211 ], + "CE": [ 395 ], "CLK": [ 2 ], - "DI": [ 1135 ], + "DI": [ 358 ], "LSR": [ "0" ], - "Q": [ 425 ] + "Q": [ 1000 ] } }, "$auto$simplemap.cc:420:simplemap_dff$16292": { @@ -44949,11 +48879,11 @@ "Q": "output" }, "connections": { - "CE": [ 1211 ], + "CE": [ 395 ], "CLK": [ 2 ], - "DI": [ 1136 ], + "DI": [ 359 ], "LSR": [ "0" ], - "Q": [ 448 ] + "Q": [ 1038 ] } }, "$auto$simplemap.cc:420:simplemap_dff$16293": { @@ -44978,11 +48908,11 @@ "Q": "output" }, "connections": { - "CE": [ 1211 ], + "CE": [ 395 ], "CLK": [ 2 ], - "DI": [ 1137 ], + "DI": [ 360 ], "LSR": [ "0" ], - "Q": [ 488 ] + "Q": [ 1076 ] } }, "$auto$simplemap.cc:420:simplemap_dff$16294": { @@ -45007,275 +48937,14 @@ "Q": "output" }, "connections": { - "CE": [ 1211 ], + "CE": [ 395 ], "CLK": [ 2 ], - "DI": [ 1138 ], + "DI": [ 361 ], "LSR": [ "0" ], - "Q": [ 527 ] + "Q": [ 1114 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16295": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1211 ], - "CLK": [ 2 ], - "DI": [ 1139 ], - "LSR": [ "0" ], - "Q": [ 550 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16296": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1211 ], - "CLK": [ 2 ], - "DI": [ 1140 ], - "LSR": [ "0" ], - "Q": [ 590 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16297": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1211 ], - "CLK": [ 2 ], - "DI": [ 1141 ], - "LSR": [ "0" ], - "Q": [ 629 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16298": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1211 ], - "CLK": [ 2 ], - "DI": [ 1142 ], - "LSR": [ "0" ], - "Q": [ 652 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16299": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1211 ], - "CLK": [ 2 ], - "DI": [ 1143 ], - "LSR": [ "0" ], - "Q": [ 676 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16300": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1211 ], - "CLK": [ 2 ], - "DI": [ 1144 ], - "LSR": [ "0" ], - "Q": [ 700 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16301": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1211 ], - "CLK": [ 2 ], - "DI": [ 1145 ], - "LSR": [ "0" ], - "Q": [ 740 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16302": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1211 ], - "CLK": [ 2 ], - "DI": [ 1146 ], - "LSR": [ "0" ], - "Q": [ 763 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16303": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1211 ], - "CLK": [ 2 ], - "DI": [ 1147 ], - "LSR": [ "0" ], - "Q": [ 803 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16398": { + "$auto$simplemap.cc:420:simplemap_dff$17765": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45288,7 +48957,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" }, "port_directions": { "CLK": "input", @@ -45298,12 +48967,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1386 ], - "LSR": [ 178 ], + "DI": [ 1596 ], + "LSR": [ 254 ], "Q": [ 10 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16399": { + "$auto$simplemap.cc:420:simplemap_dff$17766": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45316,7 +48985,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" }, "port_directions": { "CLK": "input", @@ -45327,11 +48996,11 @@ "connections": { "CLK": [ 2 ], "DI": [ "0" ], - "LSR": [ 178 ], + "LSR": [ 254 ], "Q": [ 11 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16400": { + "$auto$simplemap.cc:420:simplemap_dff$17767": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45344,7 +49013,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" }, "port_directions": { "CLK": "input", @@ -45355,11 +49024,11 @@ "connections": { "CLK": [ 2 ], "DI": [ "0" ], - "LSR": [ 178 ], + "LSR": [ 254 ], "Q": [ 12 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16401": { + "$auto$simplemap.cc:420:simplemap_dff$17768": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45372,7 +49041,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" }, "port_directions": { "CLK": "input", @@ -45383,11 +49052,11 @@ "connections": { "CLK": [ 2 ], "DI": [ "0" ], - "LSR": [ 178 ], + "LSR": [ 254 ], "Q": [ 13 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16402": { + "$auto$simplemap.cc:420:simplemap_dff$17769": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45400,7 +49069,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" }, "port_directions": { "CLK": "input", @@ -45411,11 +49080,11 @@ "connections": { "CLK": [ 2 ], "DI": [ "0" ], - "LSR": [ 178 ], + "LSR": [ 254 ], "Q": [ 14 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16403": { + "$auto$simplemap.cc:420:simplemap_dff$17770": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45428,7 +49097,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" }, "port_directions": { "CLK": "input", @@ -45439,11 +49108,11 @@ "connections": { "CLK": [ 2 ], "DI": [ "0" ], - "LSR": [ 178 ], + "LSR": [ 254 ], "Q": [ 15 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16404": { + "$auto$simplemap.cc:420:simplemap_dff$17771": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45456,7 +49125,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" }, "port_directions": { "CLK": "input", @@ -45467,11 +49136,11 @@ "connections": { "CLK": [ 2 ], "DI": [ "0" ], - "LSR": [ 178 ], + "LSR": [ 254 ], "Q": [ 16 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16405": { + "$auto$simplemap.cc:420:simplemap_dff$17772": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45484,7 +49153,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" }, "port_directions": { "CLK": "input", @@ -45495,11 +49164,11 @@ "connections": { "CLK": [ 2 ], "DI": [ "0" ], - "LSR": [ 178 ], + "LSR": [ 254 ], "Q": [ 17 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16406": { + "$auto$simplemap.cc:420:simplemap_dff$17774": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45511,7 +49180,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -45521,12 +49190,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1353 ], + "DI": [ 1566 ], "LSR": [ "0" ], - "Q": [ 179 ] + "Q": [ 255 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16407": { + "$auto$simplemap.cc:420:simplemap_dff$17775": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45538,7 +49207,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -45548,12 +49217,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1354 ], + "DI": [ 1567 ], "LSR": [ "0" ], - "Q": [ 180 ] + "Q": [ 256 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16408": { + "$auto$simplemap.cc:420:simplemap_dff$17776": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45565,7 +49234,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -45575,12 +49244,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1356 ], + "DI": [ 1569 ], "LSR": [ "0" ], - "Q": [ 181 ] + "Q": [ 257 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16409": { + "$auto$simplemap.cc:420:simplemap_dff$17777": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45592,7 +49261,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -45602,12 +49271,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1357 ], + "DI": [ 1570 ], "LSR": [ "0" ], - "Q": [ 182 ] + "Q": [ 258 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16410": { + "$auto$simplemap.cc:420:simplemap_dff$17778": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45619,7 +49288,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -45629,12 +49298,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1359 ], + "DI": [ 1572 ], "LSR": [ "0" ], - "Q": [ 171 ] + "Q": [ 247 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16411": { + "$auto$simplemap.cc:420:simplemap_dff$17779": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45646,7 +49315,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -45656,12 +49325,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1360 ], + "DI": [ 1573 ], "LSR": [ "0" ], - "Q": [ 172 ] + "Q": [ 248 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16412": { + "$auto$simplemap.cc:420:simplemap_dff$17780": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45673,7 +49342,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -45683,12 +49352,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1362 ], + "DI": [ 1575 ], "LSR": [ "0" ], - "Q": [ 173 ] + "Q": [ 249 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16413": { + "$auto$simplemap.cc:420:simplemap_dff$17781": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -45700,7 +49369,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -45710,16 +49379,16 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 1363 ], + "DI": [ 1576 ], "LSR": [ "0" ], - "Q": [ 174 ] + "Q": [ 250 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16414": { + "$auto$simplemap.cc:420:simplemap_dff$17782": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45727,28 +49396,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 261 ], + "DI": [ 1251 ], "LSR": [ "0" ], - "Q": [ 1387 ] + "Q": [ 1252 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16415": { + "$auto$simplemap.cc:420:simplemap_dff$17783": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45756,28 +49423,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 253 ], + "DI": [ 1254 ], "LSR": [ "0" ], - "Q": [ 1388 ] + "Q": [ 1255 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16416": { + "$auto$simplemap.cc:420:simplemap_dff$17784": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45785,28 +49450,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 244 ], + "DI": [ 1257 ], "LSR": [ "0" ], - "Q": [ 1389 ] + "Q": [ 1258 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16417": { + "$auto$simplemap.cc:420:simplemap_dff$17785": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45814,28 +49477,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 265 ], + "DI": [ 1260 ], "LSR": [ "0" ], - "Q": [ 1390 ] + "Q": [ 1261 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16418": { + "$auto$simplemap.cc:420:simplemap_dff$17786": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45843,28 +49504,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 257 ], + "DI": [ 1263 ], "LSR": [ "0" ], - "Q": [ 1391 ] + "Q": [ 1264 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16419": { + "$auto$simplemap.cc:420:simplemap_dff$17787": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45872,28 +49531,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 249 ], + "DI": [ 1266 ], "LSR": [ "0" ], - "Q": [ 1392 ] + "Q": [ 1267 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16420": { + "$auto$simplemap.cc:420:simplemap_dff$17788": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45901,28 +49558,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 239 ], + "DI": [ 1269 ], "LSR": [ "0" ], - "Q": [ 1393 ] + "Q": [ 1270 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16421": { + "$auto$simplemap.cc:420:simplemap_dff$17789": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45930,28 +49585,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 234 ], + "DI": [ 1272 ], "LSR": [ "0" ], - "Q": [ 1394 ] + "Q": [ 1273 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16422": { + "$auto$simplemap.cc:420:simplemap_dff$17790": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45959,28 +49612,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 229 ], + "DI": [ 1275 ], "LSR": [ "0" ], - "Q": [ 1395 ] + "Q": [ 1276 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16423": { + "$auto$simplemap.cc:420:simplemap_dff$17791": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -45988,28 +49639,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 225 ], + "DI": [ 1278 ], "LSR": [ "0" ], - "Q": [ 1396 ] + "Q": [ 1279 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16424": { + "$auto$simplemap.cc:420:simplemap_dff$17792": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -46017,28 +49666,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 221 ], + "DI": [ 1281 ], "LSR": [ "0" ], - "Q": [ 1397 ] + "Q": [ 1282 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16425": { + "$auto$simplemap.cc:420:simplemap_dff$17793": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -46046,28 +49693,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 216 ], + "DI": [ 1284 ], "LSR": [ "0" ], - "Q": [ 1398 ] + "Q": [ 1285 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16426": { + "$auto$simplemap.cc:420:simplemap_dff$17794": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -46075,28 +49720,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 211 ], + "DI": [ 1287 ], "LSR": [ "0" ], - "Q": [ 1399 ] + "Q": [ 1288 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16427": { + "$auto$simplemap.cc:420:simplemap_dff$17795": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -46104,28 +49747,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 207 ], + "DI": [ 1290 ], "LSR": [ "0" ], - "Q": [ 1400 ] + "Q": [ 1291 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16428": { + "$auto$simplemap.cc:420:simplemap_dff$17796": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -46133,28 +49774,26 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 203 ], + "DI": [ 1293 ], "LSR": [ "0" ], - "Q": [ 184 ] + "Q": [ 260 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16429": { + "$auto$simplemap.cc:420:simplemap_dff$17797": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", + "CEMUX": "1", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", @@ -46162,24 +49801,22 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 141 ], "CLK": [ 2 ], - "DI": [ 197 ], + "DI": [ 1295 ], "LSR": [ "0" ], - "Q": [ 183 ] + "Q": [ 259 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16434": { + "$auto$simplemap.cc:420:simplemap_dff$17802": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -46192,7 +49829,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:47" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:47" }, "port_directions": { "CE": "input", @@ -46202,14 +49839,14 @@ "Q": "output" }, "connections": { - "CE": [ 141 ], + "CE": [ 122 ], "CLK": [ 2 ], "DI": [ "1" ], - "LSR": [ 139 ], - "Q": [ 188 ] + "LSR": [ 121 ], + "Q": [ 340 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16435": { + "$auto$simplemap.cc:420:simplemap_dff$17803": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -46221,7 +49858,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { "CE": "input", @@ -46231,707 +49868,14 @@ "Q": "output" }, "connections": { - "CE": [ 139 ], - "CLK": [ 2 ], - "DI": [ 1207 ], - "LSR": [ "0" ], - "Q": [ 41 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16436": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 139 ], - "CLK": [ 2 ], - "DI": [ 1200 ], - "LSR": [ "0" ], - "Q": [ 42 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16437": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 139 ], - "CLK": [ 2 ], - "DI": [ 1193 ], - "LSR": [ "0" ], - "Q": [ 43 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16438": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 139 ], - "CLK": [ 2 ], - "DI": [ 1184 ], - "LSR": [ "0" ], - "Q": [ 44 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16439": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 259 ], - "LSR": [ "0" ], - "Q": [ 260 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16440": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 251 ], - "LSR": [ "0" ], - "Q": [ 252 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16441": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 242 ], - "LSR": [ "0" ], - "Q": [ 243 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16442": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 263 ], - "LSR": [ "0" ], - "Q": [ 264 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16443": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 255 ], - "LSR": [ "0" ], - "Q": [ 256 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16444": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 247 ], - "LSR": [ "0" ], - "Q": [ 248 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16445": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 237 ], - "LSR": [ "0" ], - "Q": [ 238 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16446": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 232 ], - "LSR": [ "0" ], - "Q": [ 233 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16447": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 227 ], - "LSR": [ "0" ], - "Q": [ 228 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16448": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 223 ], - "LSR": [ "0" ], - "Q": [ 224 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16449": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 219 ], - "LSR": [ "0" ], - "Q": [ 220 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16450": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 214 ], - "LSR": [ "0" ], - "Q": [ 215 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16451": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 209 ], - "LSR": [ "0" ], - "Q": [ 210 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16452": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 205 ], - "LSR": [ "0" ], - "Q": [ 206 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16453": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 201 ], - "LSR": [ "0" ], - "Q": [ 202 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16454": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 195 ], - "LSR": [ "0" ], - "Q": [ 196 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16459": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], - "CLK": [ 2 ], - "DI": [ 1321 ], - "LSR": [ "0" ], - "Q": [ 1320 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16460": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], - "CLK": [ 2 ], - "DI": [ 1325 ], - "LSR": [ "0" ], - "Q": [ 1324 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16461": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], - "CLK": [ 2 ], - "DI": [ 1329 ], - "LSR": [ "0" ], - "Q": [ 1328 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16462": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], - "CLK": [ 2 ], - "DI": [ 1319 ], - "LSR": [ "0" ], - "Q": [ 1318 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16463": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], - "CLK": [ 2 ], - "DI": [ 1323 ], - "LSR": [ "0" ], - "Q": [ 1322 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16464": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], + "CE": [ 121 ], "CLK": [ 2 ], "DI": [ 1327 ], "LSR": [ "0" ], - "Q": [ 1326 ] + "Q": [ 171 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16465": { + "$auto$simplemap.cc:420:simplemap_dff$17804": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -46943,7 +49887,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { "CE": "input", @@ -46953,14 +49897,14 @@ "Q": "output" }, "connections": { - "CE": [ 1212 ], + "CE": [ 121 ], "CLK": [ 2 ], - "DI": [ 1331 ], + "DI": [ 1334 ], "LSR": [ "0" ], - "Q": [ 1330 ] + "Q": [ 170 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16466": { + "$auto$simplemap.cc:420:simplemap_dff$17805": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -46972,7 +49916,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { "CE": "input", @@ -46982,130 +49926,14 @@ "Q": "output" }, "connections": { - "CE": [ 1212 ], - "CLK": [ 2 ], - "DI": [ 1333 ], - "LSR": [ "0" ], - "Q": [ 1332 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16467": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], - "CLK": [ 2 ], - "DI": [ 1335 ], - "LSR": [ "0" ], - "Q": [ 1334 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16468": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], - "CLK": [ 2 ], - "DI": [ 1337 ], - "LSR": [ "0" ], - "Q": [ 1336 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16469": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], - "CLK": [ 2 ], - "DI": [ 1339 ], - "LSR": [ "0" ], - "Q": [ 1338 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$16470": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 1212 ], + "CE": [ 121 ], "CLK": [ 2 ], "DI": [ 1341 ], "LSR": [ "0" ], - "Q": [ 1340 ] + "Q": [ 173 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16471": { + "$auto$simplemap.cc:420:simplemap_dff$17806": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47117,7 +49945,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { "CE": "input", @@ -47127,14 +49955,446 @@ "Q": "output" }, "connections": { - "CE": [ 1212 ], + "CE": [ 121 ], "CLK": [ 2 ], - "DI": [ 1343 ], + "DI": [ 1317 ], "LSR": [ "0" ], - "Q": [ 1342 ] + "Q": [ 172 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16472": { + "$auto$simplemap.cc:420:simplemap_dff$17807": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 332 ], + "LSR": [ "0" ], + "Q": [ 333 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17808": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 324 ], + "LSR": [ "0" ], + "Q": [ 325 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17809": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 315 ], + "LSR": [ "0" ], + "Q": [ 316 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17810": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 336 ], + "LSR": [ "0" ], + "Q": [ 337 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17811": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 328 ], + "LSR": [ "0" ], + "Q": [ 329 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17812": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 320 ], + "LSR": [ "0" ], + "Q": [ 321 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17813": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 310 ], + "LSR": [ "0" ], + "Q": [ 311 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17814": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 305 ], + "LSR": [ "0" ], + "Q": [ 306 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17815": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 300 ], + "LSR": [ "0" ], + "Q": [ 301 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17816": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 296 ], + "LSR": [ "0" ], + "Q": [ 297 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17817": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 292 ], + "LSR": [ "0" ], + "Q": [ 293 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17818": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 287 ], + "LSR": [ "0" ], + "Q": [ 288 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17819": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 282 ], + "LSR": [ "0" ], + "Q": [ 283 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17820": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 278 ], + "LSR": [ "0" ], + "Q": [ 279 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17821": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 274 ], + "LSR": [ "0" ], + "Q": [ 275 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17822": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 267 ], + "LSR": [ "0" ], + "Q": [ 268 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17827": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47146,7 +50406,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { "CE": "input", @@ -47156,14 +50416,14 @@ "Q": "output" }, "connections": { - "CE": [ 1212 ], + "CE": [ 339 ], "CLK": [ 2 ], - "DI": [ 1345 ], + "DI": [ 1553 ], "LSR": [ "0" ], - "Q": [ 1344 ] + "Q": [ 1552 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16473": { + "$auto$simplemap.cc:420:simplemap_dff$17828": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47175,7 +50435,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { "CE": "input", @@ -47185,14 +50445,14 @@ "Q": "output" }, "connections": { - "CE": [ 1212 ], + "CE": [ 339 ], "CLK": [ 2 ], - "DI": [ 1347 ], + "DI": [ 1547 ], "LSR": [ "0" ], - "Q": [ 1346 ] + "Q": [ 1546 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16474": { + "$auto$simplemap.cc:420:simplemap_dff$17829": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47204,7 +50464,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { "CE": "input", @@ -47214,14 +50474,391 @@ "Q": "output" }, "connections": { - "CE": [ 1212 ], + "CE": [ 339 ], "CLK": [ 2 ], - "DI": [ 1349 ], + "DI": [ 1549 ], "LSR": [ "0" ], - "Q": [ 1348 ] + "Q": [ 1548 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16479": { + "$auto$simplemap.cc:420:simplemap_dff$17830": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1555 ], + "LSR": [ "0" ], + "Q": [ 1554 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17831": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1551 ], + "LSR": [ "0" ], + "Q": [ 1550 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17832": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1545 ], + "LSR": [ "0" ], + "Q": [ 1544 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17833": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1541 ], + "LSR": [ "0" ], + "Q": [ 1540 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17834": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1537 ], + "LSR": [ "0" ], + "Q": [ 1536 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17835": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1533 ], + "LSR": [ "0" ], + "Q": [ 1532 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17836": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1531 ], + "LSR": [ "0" ], + "Q": [ 1530 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17837": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1535 ], + "LSR": [ "0" ], + "Q": [ 1534 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17838": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1543 ], + "LSR": [ "0" ], + "Q": [ 1542 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17839": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1559 ], + "LSR": [ "0" ], + "Q": [ 1558 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17840": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1557 ], + "LSR": [ "0" ], + "Q": [ 1556 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17841": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1529 ], + "LSR": [ "0" ], + "Q": [ 1528 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17842": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 339 ], + "CLK": [ 2 ], + "DI": [ 1539 ], + "LSR": [ "0" ], + "Q": [ 1538 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17847": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47234,7 +50871,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:48" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:48" }, "port_directions": { "CE": "input", @@ -47244,14 +50881,14 @@ "Q": "output" }, "connections": { - "CE": [ 1317 ], + "CE": [ 1393 ], "CLK": [ 2 ], "DI": [ "0" ], - "LSR": [ 115 ], - "Q": [ 1386 ] + "LSR": [ 81 ], + "Q": [ 1596 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16480": { + "$auto$simplemap.cc:420:simplemap_dff$17848": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47263,7 +50900,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47273,12 +50910,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 916 ], + "DI": [ 1224 ], "LSR": [ "0" ], - "Q": [ 66 ] + "Q": [ 130 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16481": { + "$auto$simplemap.cc:420:simplemap_dff$17849": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47290,7 +50927,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47300,12 +50937,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 923 ], + "DI": [ 1230 ], "LSR": [ "0" ], - "Q": [ 68 ] + "Q": [ 132 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16482": { + "$auto$simplemap.cc:420:simplemap_dff$17850": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47317,7 +50954,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47327,12 +50964,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 925 ], + "DI": [ 1232 ], "LSR": [ "0" ], - "Q": [ 70 ] + "Q": [ 126 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16483": { + "$auto$simplemap.cc:420:simplemap_dff$17851": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47344,7 +50981,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47354,12 +50991,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 928 ], + "DI": [ 1235 ], "LSR": [ "0" ], - "Q": [ 72 ] + "Q": [ 128 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16484": { + "$auto$simplemap.cc:420:simplemap_dff$17852": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47371,7 +51008,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47381,12 +51018,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 842 ], + "DI": [ 1140 ], "LSR": [ "0" ], - "Q": [ 65 ] + "Q": [ 129 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16485": { + "$auto$simplemap.cc:420:simplemap_dff$17853": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47398,7 +51035,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47408,12 +51045,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 866 ], + "DI": [ 1165 ], "LSR": [ "0" ], - "Q": [ 67 ] + "Q": [ 131 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16486": { + "$auto$simplemap.cc:420:simplemap_dff$17854": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47425,7 +51062,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47435,12 +51072,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 888 ], + "DI": [ 1187 ], "LSR": [ "0" ], - "Q": [ 69 ] + "Q": [ 125 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16487": { + "$auto$simplemap.cc:420:simplemap_dff$17855": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47452,7 +51089,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47462,12 +51099,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 910 ], + "DI": [ 1209 ], "LSR": [ "0" ], - "Q": [ 71 ] + "Q": [ 127 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16488": { + "$auto$simplemap.cc:420:simplemap_dff$17856": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47479,7 +51116,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { "CE": "input", @@ -47489,14 +51126,43 @@ "Q": "output" }, "connections": { - "CE": [ 810 ], + "CE": [ 246 ], "CLK": [ 2 ], - "DI": [ 42 ], + "DI": [ 170 ], "LSR": [ "0" ], - "Q": [ 1277 ] + "Q": [ 1515 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16489": { + "$auto$simplemap.cc:420:simplemap_dff$17857": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 246 ], + "CLK": [ 2 ], + "DI": [ 171 ], + "LSR": [ "0" ], + "Q": [ 1248 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17858": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47508,7 +51174,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47518,12 +51184,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 812 ], + "DI": [ 1121 ], "LSR": [ "0" ], - "Q": [ 813 ] + "Q": [ 1116 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16490": { + "$auto$simplemap.cc:420:simplemap_dff$17859": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47535,7 +51201,304 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1123 ], + "LSR": [ "0" ], + "Q": [ 1122 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17860": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1125 ], + "LSR": [ "0" ], + "Q": [ 1124 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17861": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1129 ], + "LSR": [ "0" ], + "Q": [ 1126 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17862": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 510 ], + "LSR": [ "0" ], + "Q": [ 334 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17863": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 550 ], + "LSR": [ "0" ], + "Q": [ 326 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17864": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 588 ], + "LSR": [ "0" ], + "Q": [ 317 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17865": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 626 ], + "LSR": [ "0" ], + "Q": [ 338 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17866": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 664 ], + "LSR": [ "0" ], + "Q": [ 330 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17867": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 702 ], + "LSR": [ "0" ], + "Q": [ 322 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17868": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 740 ], + "LSR": [ "0" ], + "Q": [ 312 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17869": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 778 ], + "LSR": [ "0" ], + "Q": [ 307 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17870": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47547,10 +51510,10 @@ "CLK": [ 2 ], "DI": [ 816 ], "LSR": [ "0" ], - "Q": [ 815 ] + "Q": [ 302 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16491": { + "$auto$simplemap.cc:420:simplemap_dff$17871": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47562,7 +51525,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47572,12 +51535,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 818 ], + "DI": [ 854 ], "LSR": [ "0" ], - "Q": [ 817 ] + "Q": [ 298 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16492": { + "$auto$simplemap.cc:420:simplemap_dff$17872": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47589,7 +51552,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47599,12 +51562,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 822 ], + "DI": [ 892 ], "LSR": [ "0" ], - "Q": [ 819 ] + "Q": [ 294 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16493": { + "$auto$simplemap.cc:420:simplemap_dff$17873": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47616,7 +51579,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47626,12 +51589,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 292 ], + "DI": [ 930 ], "LSR": [ "0" ], - "Q": [ 261 ] + "Q": [ 289 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16494": { + "$auto$simplemap.cc:420:simplemap_dff$17874": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47643,7 +51606,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47653,12 +51616,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 335 ], + "DI": [ 968 ], "LSR": [ "0" ], - "Q": [ 253 ] + "Q": [ 284 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16495": { + "$auto$simplemap.cc:420:simplemap_dff$17875": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47670,7 +51633,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47680,12 +51643,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 358 ], + "DI": [ 1006 ], "LSR": [ "0" ], - "Q": [ 244 ] + "Q": [ 280 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16496": { + "$auto$simplemap.cc:420:simplemap_dff$17876": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47697,7 +51660,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47707,12 +51670,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 397 ], + "DI": [ 1044 ], "LSR": [ "0" ], - "Q": [ 265 ] + "Q": [ 276 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16497": { + "$auto$simplemap.cc:420:simplemap_dff$17877": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47724,7 +51687,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47734,12 +51697,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 438 ], + "DI": [ 1082 ], "LSR": [ "0" ], - "Q": [ 257 ] + "Q": [ 269 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16498": { + "$auto$simplemap.cc:420:simplemap_dff$17882": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47751,7 +51714,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47761,12 +51724,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 460 ], + "DI": [ 502 ], "LSR": [ "0" ], - "Q": [ 249 ] + "Q": [ 501 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16499": { + "$auto$simplemap.cc:420:simplemap_dff$17883": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47778,7 +51741,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47788,12 +51751,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 499 ], + "DI": [ 498 ], "LSR": [ "0" ], - "Q": [ 239 ] + "Q": [ 497 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16500": { + "$auto$simplemap.cc:420:simplemap_dff$17884": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47805,7 +51768,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47815,12 +51778,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 540 ], + "DI": [ 494 ], "LSR": [ "0" ], - "Q": [ 234 ] + "Q": [ 492 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16501": { + "$auto$simplemap.cc:420:simplemap_dff$17885": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47832,7 +51795,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47842,12 +51805,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 562 ], + "DI": [ 504 ], "LSR": [ "0" ], - "Q": [ 229 ] + "Q": [ 503 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16502": { + "$auto$simplemap.cc:420:simplemap_dff$17886": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47859,7 +51822,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47869,12 +51832,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 601 ], + "DI": [ 500 ], "LSR": [ "0" ], - "Q": [ 225 ] + "Q": [ 499 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16503": { + "$auto$simplemap.cc:420:simplemap_dff$17887": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47886,7 +51849,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47896,12 +51859,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 642 ], + "DI": [ 496 ], "LSR": [ "0" ], - "Q": [ 221 ] + "Q": [ 495 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16504": { + "$auto$simplemap.cc:420:simplemap_dff$17888": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47913,7 +51876,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47923,12 +51886,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 666 ], + "DI": [ 491 ], "LSR": [ "0" ], - "Q": [ 216 ] + "Q": [ 490 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16505": { + "$auto$simplemap.cc:420:simplemap_dff$17889": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47940,7 +51903,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47950,12 +51913,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 690 ], + "DI": [ 489 ], "LSR": [ "0" ], - "Q": [ 211 ] + "Q": [ 487 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16506": { + "$auto$simplemap.cc:420:simplemap_dff$17890": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47967,7 +51930,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -47977,12 +51940,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 712 ], + "DI": [ 486 ], "LSR": [ "0" ], - "Q": [ 207 ] + "Q": [ 485 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16507": { + "$auto$simplemap.cc:420:simplemap_dff$17891": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -47994,7 +51957,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -48004,12 +51967,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 753 ], + "DI": [ 484 ], "LSR": [ "0" ], - "Q": [ 203 ] + "Q": [ 483 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$16508": { + "$auto$simplemap.cc:420:simplemap_dff$17892": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -48021,7 +51984,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:218|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" }, "port_directions": { "CLK": "input", @@ -48031,9 +51994,819 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 775 ], + "DI": [ 482 ], "LSR": [ "0" ], - "Q": [ 197 ] + "Q": [ 481 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17893": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 480 ], + "LSR": [ "0" ], + "Q": [ 478 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17894": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 477 ], + "LSR": [ "0" ], + "Q": [ 476 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17895": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 475 ], + "LSR": [ "0" ], + "Q": [ 474 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17896": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 473 ], + "LSR": [ "0" ], + "Q": [ 472 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17897": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 470 ], + "LSR": [ "0" ], + "Q": [ 468 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17902": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 198 ], + "LSR": [ "0" ], + "Q": [ 83 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17903": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1527 ], + "LSR": [ "0" ], + "Q": [ 82 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17904": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1526 ], + "LSR": [ "0" ], + "Q": [ 81 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17905": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1525 ], + "LSR": [ "0" ], + "Q": [ 78 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17906": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 190 ], + "LSR": [ "0" ], + "Q": [ 64 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17907": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 186 ], + "LSR": [ "0" ], + "Q": [ 69 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17908": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 181 ], + "LSR": [ "0" ], + "Q": [ 55 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17909": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 166 ], + "LSR": [ "0" ], + "Q": [ 54 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17910": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1385 ], + "LSR": [ "0" ], + "Q": [ 72 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17911": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1388 ], + "LSR": [ "0" ], + "Q": [ 70 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17912": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1390 ], + "LSR": [ "0" ], + "Q": [ 71 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17913": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1365 ], + "LSR": [ "0" ], + "Q": [ 68 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17914": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1371 ], + "LSR": [ "0" ], + "Q": [ 67 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17915": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1389 ], + "LSR": [ "0" ], + "Q": [ 76 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17916": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1362 ], + "LSR": [ "0" ], + "Q": [ 65 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17917": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1360 ], + "LSR": [ "0" ], + "Q": [ 61 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17918": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1384 ], + "LSR": [ "0" ], + "Q": [ 80 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17919": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1357 ], + "LSR": [ "0" ], + "Q": [ 79 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17920": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1355 ], + "LSR": [ "0" ], + "Q": [ 75 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17921": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1347 ], + "LSR": [ "0" ], + "Q": [ 74 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17922": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1349 ], + "LSR": [ "0" ], + "Q": [ 56 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17923": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1379 ], + "LSR": [ "0" ], + "Q": [ 77 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17924": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1376 ], + "LSR": [ "0" ], + "Q": [ 66 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17925": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1375 ], + "LSR": [ "0" ], + "Q": [ 117 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$17926": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 1381 ], + "LSR": [ "0" ], + "Q": [ 73 ] } }, "calc_rom.rom.0.0.0": { @@ -48190,22 +52963,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 191 ], + "CEB": [ 343 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -48226,7 +52999,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1210 ], + "DOB0": [ 1330 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -48389,22 +53162,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 1081 ], + "CEB": [ 341 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -48425,7 +53198,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1204 ], + "DOB0": [ 1324 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -48588,22 +53361,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 189 ], + "CEB": [ 342 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -48624,7 +53397,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1209 ], + "DOB0": [ 1329 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -48787,22 +53560,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 190 ], + "CEB": [ 344 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -48823,7 +53596,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1208 ], + "DOB0": [ 1328 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -48986,22 +53759,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 191 ], + "CEB": [ 343 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -49022,7 +53795,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1203 ], + "DOB0": [ 1337 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -49185,22 +53958,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 1081 ], + "CEB": [ 341 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -49221,7 +53994,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1197 ], + "DOB0": [ 1331 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -49384,22 +54157,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 189 ], + "CEB": [ 342 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -49420,7 +54193,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1202 ], + "DOB0": [ 1336 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -49583,22 +54356,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 190 ], + "CEB": [ 344 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -49619,7 +54392,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1201 ], + "DOB0": [ 1335 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -49782,22 +54555,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 191 ], + "CEB": [ 343 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -49818,7 +54591,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1196 ], + "DOB0": [ 1344 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -49981,22 +54754,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 1081 ], + "CEB": [ 341 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -50017,7 +54790,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1190 ], + "DOB0": [ 1338 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -50180,22 +54953,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 189 ], + "CEB": [ 342 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -50216,7 +54989,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1195 ], + "DOB0": [ 1343 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -50379,22 +55152,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 190 ], + "CEB": [ 344 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -50415,7 +55188,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1194 ], + "DOB0": [ 1342 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -50578,22 +55351,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 191 ], + "CEB": [ 343 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -50614,7 +55387,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1189 ], + "DOB0": [ 1322 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -50777,22 +55550,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 1081 ], + "CEB": [ 341 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -50813,7 +55586,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1180 ], + "DOB0": [ 1313 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -50976,22 +55749,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 189 ], + "CEB": [ 342 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -51012,7 +55785,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1188 ], + "DOB0": [ 1321 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -51175,22 +55948,22 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 1387 ], - "ADB1": [ 1388 ], - "ADB10": [ 1397 ], - "ADB11": [ 1398 ], - "ADB12": [ 1399 ], - "ADB13": [ 1400 ], - "ADB2": [ 1389 ], - "ADB3": [ 1390 ], - "ADB4": [ 1391 ], - "ADB5": [ 1392 ], - "ADB6": [ 1393 ], - "ADB7": [ 1394 ], - "ADB8": [ 1395 ], - "ADB9": [ 1396 ], + "ADB0": [ 1252 ], + "ADB1": [ 1255 ], + "ADB10": [ 1282 ], + "ADB11": [ 1285 ], + "ADB12": [ 1288 ], + "ADB13": [ 1291 ], + "ADB2": [ 1258 ], + "ADB3": [ 1261 ], + "ADB4": [ 1264 ], + "ADB5": [ 1267 ], + "ADB6": [ 1270 ], + "ADB7": [ 1273 ], + "ADB8": [ 1276 ], + "ADB9": [ 1279 ], "CEA": [ "1" ], - "CEB": [ 190 ], + "CEB": [ 344 ], "CLKA": [ "0" ], "CLKB": [ 2 ], "DIA0": [ "0" ], @@ -51211,7 +55984,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 1187 ], + "DOB0": [ 1320 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -51222,6808 +55995,7789 @@ } }, "netnames": { + "$0\\D0[19:0]": { + "hide_name": 1, + "bits": [ 502, 498, 494, 504, 500, 496, 491, 489, 486, 484, 482, 480, 477, 475, 473, 470, 1597, 1598, 1599, 1600 ], + "attributes": { + "src": "saturn_core.v:360" + } + }, "$0\\PC[19:0]": { "hide_name": 1, - "bits": [ 292, 335, 358, 397, 438, 460, 499, 540, 562, 601, 642, 666, 690, 712, 753, 775, 1401, 1402, 1403, 1404 ], + "bits": [ 510, 550, 588, 626, 664, 702, 740, 778, 816, 854, 892, 930, 968, 1006, 1044, 1082, 1601, 1602, 1603, 1604 ], "attributes": { - "src": "saturn_core.v:218" + "src": "saturn_core.v:360" + } + }, + "$0\\bus_address[19:0]": { + "hide_name": 1, + "bits": [ 1251, 1254, 1257, 1260, 1263, 1266, 1269, 1272, 1275, 1278, 1281, 1284, 1287, 1290, 1293, 1295, 1605, 1606, 1607, 1608 ], + "attributes": { + "src": "saturn_core.v:360" } }, "$0\\jump_base[19:0]": { "hide_name": 1, - "bits": [ 259, 251, 242, 263, 255, 247, 237, 232, 227, 223, 219, 214, 209, 205, 201, 195, 1405, 1406, 1407, 1408 ], + "bits": [ 332, 324, 315, 336, 328, 320, 310, 305, 300, 296, 292, 287, 282, 278, 274, 267, 1609, 1610, 1611, 1612 ], "attributes": { - "src": "saturn_core.v:218" + "src": "saturn_core.v:360" } }, "$0\\jump_offset[19:0]": { "hide_name": 1, - "bits": [ 1321, 1325, 1329, 1319, 1323, 1327, 1331, 1333, 1335, 1337, 1339, 1341, 1343, 1345, 1347, 1349, 1409, 1410, 1411, 1412 ], + "bits": [ 1553, 1547, 1549, 1555, 1551, 1545, 1541, 1537, 1533, 1531, 1535, 1543, 1559, 1557, 1529, 1539, 1613, 1614, 1615, 1616 ], "attributes": { - "src": "saturn_core.v:218" + "src": "saturn_core.v:360" } }, "$0\\regdump[7:0]": { "hide_name": 1, - "bits": [ 1353, 1354, 1356, 1357, 1359, 1360, 1362, 1363 ], + "bits": [ 1566, 1567, 1569, 1570, 1572, 1573, 1575, 1576 ], "attributes": { - "src": "saturn_core.v:218" + "src": "saturn_core.v:360" } }, "$0\\rstk_ptr[2:0]": { "hide_name": 1, - "bits": [ 1063, 1067, 1071 ], + "bits": [ 1238, 1242, 1246 ], "attributes": { - "src": "saturn_core.v:218" + "src": "saturn_core.v:360" } }, "$0\\t_cnt[3:0]": { "hide_name": 1, - "bits": [ 916, 923, 925, 928 ], + "bits": [ 1224, 1230, 1232, 1235 ], "attributes": { - "src": "saturn_core.v:218" + "src": "saturn_core.v:360" } }, "$0\\t_ctr[3:0]": { "hide_name": 1, - "bits": [ 842, 866, 888, 910 ], + "bits": [ 1140, 1165, 1187, 1209 ], "attributes": { - "src": "saturn_core.v:218" + "src": "saturn_core.v:360" } }, "$0\\t_field[3:0]": { "hide_name": 1, - "bits": [ 812, 816, 818, 822 ], + "bits": [ 1121, 1123, 1125, 1129 ], "attributes": { - "src": "saturn_core.v:218" + "src": "saturn_core.v:360" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19317.f0": { - "hide_name": 1, - "bits": [ 21 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19317.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20641.f0": { "hide_name": 1, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19318.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20641.f1": { "hide_name": 1, - "bits": [ 29 ], + "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19318.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20642.f0": { "hide_name": 1, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19325.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20642.f1": { + "hide_name": 1, + "bits": [ 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.f0": { + "hide_name": 1, + "bits": [ 37 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.f1": { + "hide_name": 1, + "bits": [ 38 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.f2": { + "hide_name": 1, + "bits": [ 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.f3": { + "hide_name": 1, + "bits": [ 40 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.f4": { + "hide_name": 1, + "bits": [ 41 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.f5": { + "hide_name": 1, + "bits": [ 42 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.f6": { + "hide_name": 1, + "bits": [ 43 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.f7": { + "hide_name": 1, + "bits": [ 44 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.g0": { + "hide_name": 1, + "bits": [ 46 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.g1": { + "hide_name": 1, + "bits": [ 47 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.g2": { + "hide_name": 1, + "bits": [ 48 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.g3": { "hide_name": 1, "bits": [ 49 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19325.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.h0": { "hide_name": 1, - "bits": [ 50 ], + "bits": [ 51 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19335.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20643.h1": { "hide_name": 1, - "bits": [ 74 ], + "bits": [ 52 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19335.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.f0": { "hide_name": 1, - "bits": [ 75 ], + "bits": [ 57 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19336.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.f1": { "hide_name": 1, - "bits": [ 76 ], + "bits": [ 58 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19336.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.f2": { "hide_name": 1, - "bits": [ 77 ], + "bits": [ 59 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19337.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.f3": { "hide_name": 1, - "bits": [ 83 ], + "bits": [ 60 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19337.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.g0": { "hide_name": 1, - "bits": [ 84 ], + "bits": [ 62 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20644.g1": { + "hide_name": 1, + "bits": [ 63 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.f0": { + "hide_name": 1, + "bits": [ 86 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.f1": { + "hide_name": 1, + "bits": [ 87 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.f2": { + "hide_name": 1, + "bits": [ 88 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.f3": { "hide_name": 1, "bits": [ 89 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.g0": { "hide_name": 1, "bits": [ 90 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20652.g1": { "hide_name": 1, "bits": [ 91 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.f3": { - "hide_name": 1, - "bits": [ 92 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20655.f0": { "hide_name": 1, "bits": [ 94 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19338.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20655.f1": { "hide_name": 1, "bits": [ 95 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19344.f0": { - "hide_name": 1, - "bits": [ 103 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19344.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.f0": { "hide_name": 1, "bits": [ 104 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.f1": { + "hide_name": 1, + "bits": [ 105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.f2": { + "hide_name": 1, + "bits": [ 106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.f3": { + "hide_name": 1, + "bits": [ 107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.f4": { "hide_name": 1, "bits": [ 108 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.f5": { "hide_name": 1, "bits": [ 109 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.f6": { "hide_name": 1, "bits": [ 110 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.f7": { "hide_name": 1, "bits": [ 111 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.g0": { "hide_name": 1, "bits": [ 113 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19348.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.g1": { "hide_name": 1, "bits": [ 114 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19350.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.g2": { "hide_name": 1, - "bits": [ 123 ], + "bits": [ 115 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19350.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.g3": { "hide_name": 1, - "bits": [ 124 ], + "bits": [ 116 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19351.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.h0": { "hide_name": 1, - "bits": [ 128 ], + "bits": [ 118 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19351.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20660.h1": { "hide_name": 1, - "bits": [ 129 ], + "bits": [ 119 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.f0": { - "hide_name": 1, - "bits": [ 131 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.f1": { - "hide_name": 1, - "bits": [ 132 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.f2": { - "hide_name": 1, - "bits": [ 133 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.f0": { "hide_name": 1, "bits": [ 134 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.f1": { "hide_name": 1, "bits": [ 135 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19353.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.f2": { "hide_name": 1, "bits": [ 136 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19357.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.f3": { + "hide_name": 1, + "bits": [ 137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.f4": { + "hide_name": 1, + "bits": [ 138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.f5": { + "hide_name": 1, + "bits": [ 139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.f6": { + "hide_name": 1, + "bits": [ 140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.f7": { + "hide_name": 1, + "bits": [ 141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.g0": { "hide_name": 1, "bits": [ 143 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19357.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.g1": { "hide_name": 1, "bits": [ 144 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19358.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.g2": { + "hide_name": 1, + "bits": [ 145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.g3": { "hide_name": 1, "bits": [ 146 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19358.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.h0": { "hide_name": 1, "bits": [ 147 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20665.h1": { "hide_name": 1, - "bits": [ 150 ], + "bits": [ 148 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.f1": { - "hide_name": 1, - "bits": [ 151 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.f0": { "hide_name": 1, "bits": [ 152 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.f1": { "hide_name": 1, "bits": [ 153 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.f2": { "hide_name": 1, "bits": [ 154 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19361.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.f3": { "hide_name": 1, "bits": [ 155 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19376.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.g0": { + "hide_name": 1, + "bits": [ 156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20668.g1": { + "hide_name": 1, + "bits": [ 157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20670.f0": { + "hide_name": 1, + "bits": [ 158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20670.f1": { + "hide_name": 1, + "bits": [ 159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20673.f0": { + "hide_name": 1, + "bits": [ 163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20673.f1": { + "hide_name": 1, + "bits": [ 164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20677.f0": { + "hide_name": 1, + "bits": [ 174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20677.f1": { "hide_name": 1, "bits": [ 175 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19376.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20678.f0": { "hide_name": 1, "bits": [ 176 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19446.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20678.f1": { "hide_name": 1, - "bits": [ 289 ], + "bits": [ 177 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19446.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20680.f0": { "hide_name": 1, - "bits": [ 290 ], + "bits": [ 179 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20680.f1": { "hide_name": 1, - "bits": [ 297 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.f1": { - "hide_name": 1, - "bits": [ 298 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.f2": { - "hide_name": 1, - "bits": [ 299 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.f3": { - "hide_name": 1, - "bits": [ 300 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.f4": { - "hide_name": 1, - "bits": [ 301 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.f5": { - "hide_name": 1, - "bits": [ 302 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.f6": { - "hide_name": 1, - "bits": [ 303 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.f7": { - "hide_name": 1, - "bits": [ 304 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.g0": { - "hide_name": 1, - "bits": [ 306 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.g1": { - "hide_name": 1, - "bits": [ 307 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.g2": { - "hide_name": 1, - "bits": [ 308 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.g3": { - "hide_name": 1, - "bits": [ 309 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.h0": { - "hide_name": 1, - "bits": [ 310 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19447.h1": { - "hide_name": 1, - "bits": [ 311 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19454.f0": { - "hide_name": 1, - "bits": [ 323 ], + "bits": [ 180 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19454.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20682.f0": { "hide_name": 1, - "bits": [ 324 ], + "bits": [ 183 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19461.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20682.f1": { "hide_name": 1, - "bits": [ 332 ], + "bits": [ 184 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19461.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20691.f0": { "hide_name": 1, - "bits": [ 333 ], + "bits": [ 195 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19464.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20691.f1": { "hide_name": 1, - "bits": [ 343 ], + "bits": [ 196 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19464.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20692.f0": { "hide_name": 1, - "bits": [ 344 ], + "bits": [ 203 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19469.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20692.f1": { "hide_name": 1, - "bits": [ 352 ], + "bits": [ 204 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19469.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20696.f0": { "hide_name": 1, - "bits": [ 353 ], + "bits": [ 208 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19470.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20696.f1": { "hide_name": 1, - "bits": [ 355 ], + "bits": [ 209 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19470.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20703.f0": { "hide_name": 1, - "bits": [ 356 ], + "bits": [ 215 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20703.f1": { "hide_name": 1, - "bits": [ 363 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.f1": { - "hide_name": 1, - "bits": [ 364 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.f2": { - "hide_name": 1, - "bits": [ 365 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.f3": { - "hide_name": 1, - "bits": [ 366 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.f4": { - "hide_name": 1, - "bits": [ 367 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.f5": { - "hide_name": 1, - "bits": [ 368 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.f6": { - "hide_name": 1, - "bits": [ 369 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.f7": { - "hide_name": 1, - "bits": [ 370 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.g0": { - "hide_name": 1, - "bits": [ 372 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.g1": { - "hide_name": 1, - "bits": [ 373 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.g2": { - "hide_name": 1, - "bits": [ 374 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.g3": { - "hide_name": 1, - "bits": [ 375 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.h0": { - "hide_name": 1, - "bits": [ 376 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19471.h1": { - "hide_name": 1, - "bits": [ 377 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19474.f0": { - "hide_name": 1, - "bits": [ 382 ], + "bits": [ 216 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19474.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20707.f0": { "hide_name": 1, - "bits": [ 383 ], + "bits": [ 221 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19476.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20707.f1": { "hide_name": 1, - "bits": [ 388 ], + "bits": [ 222 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19476.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.f0": { "hide_name": 1, - "bits": [ 389 ], + "bits": [ 225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.f1": { + "hide_name": 1, + "bits": [ 226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.f2": { + "hide_name": 1, + "bits": [ 227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.f3": { + "hide_name": 1, + "bits": [ 228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.g0": { + "hide_name": 1, + "bits": [ 229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20708.g1": { + "hide_name": 1, + "bits": [ 230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20711.f0": { + "hide_name": 1, + "bits": [ 232 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19479.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20711.f1": { "hide_name": 1, - "bits": [ 394 ], + "bits": [ 233 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19479.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20713.f0": { "hide_name": 1, - "bits": [ 395 ], + "bits": [ 234 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20713.f1": { "hide_name": 1, - "bits": [ 402 ], + "bits": [ 235 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20722.f0": { "hide_name": 1, - "bits": [ 403 ], + "bits": [ 251 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20722.f1": { "hide_name": 1, - "bits": [ 404 ], + "bits": [ 252 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.f3": { - "hide_name": 1, - "bits": [ 405 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.f4": { - "hide_name": 1, - "bits": [ 406 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.f5": { - "hide_name": 1, - "bits": [ 407 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.f6": { - "hide_name": 1, - "bits": [ 408 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.f7": { - "hide_name": 1, - "bits": [ 409 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.g0": { - "hide_name": 1, - "bits": [ 411 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.g1": { - "hide_name": 1, - "bits": [ 412 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.g2": { - "hide_name": 1, - "bits": [ 413 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.g3": { - "hide_name": 1, - "bits": [ 414 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.h0": { - "hide_name": 1, - "bits": [ 415 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19480.h1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20845.f0": { "hide_name": 1, "bits": [ 416 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19483.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20845.f1": { "hide_name": 1, - "bits": [ 421 ], + "bits": [ 417 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19483.f1": { - "hide_name": 1, - "bits": [ 422 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19485.f0": { - "hide_name": 1, - "bits": [ 427 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19485.f1": { - "hide_name": 1, - "bits": [ 428 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19488.f0": { - "hide_name": 1, - "bits": [ 435 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19488.f1": { - "hide_name": 1, - "bits": [ 436 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19491.f0": { - "hide_name": 1, - "bits": [ 445 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19491.f1": { - "hide_name": 1, - "bits": [ 446 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19495.f0": { - "hide_name": 1, - "bits": [ 454 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19495.f1": { - "hide_name": 1, - "bits": [ 455 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19496.f0": { - "hide_name": 1, - "bits": [ 457 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19496.f1": { - "hide_name": 1, - "bits": [ 458 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.f0": { - "hide_name": 1, - "bits": [ 465 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.f1": { - "hide_name": 1, - "bits": [ 466 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.f2": { - "hide_name": 1, - "bits": [ 467 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.f3": { - "hide_name": 1, - "bits": [ 468 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.f4": { - "hide_name": 1, - "bits": [ 469 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.f5": { - "hide_name": 1, - "bits": [ 470 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.f6": { - "hide_name": 1, - "bits": [ 471 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.f7": { - "hide_name": 1, - "bits": [ 472 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.g0": { - "hide_name": 1, - "bits": [ 474 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.g1": { - "hide_name": 1, - "bits": [ 475 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.g2": { - "hide_name": 1, - "bits": [ 476 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.g3": { - "hide_name": 1, - "bits": [ 477 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.h0": { - "hide_name": 1, - "bits": [ 478 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19497.h1": { - "hide_name": 1, - "bits": [ 479 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19500.f0": { - "hide_name": 1, - "bits": [ 484 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19500.f1": { - "hide_name": 1, - "bits": [ 485 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19502.f0": { - "hide_name": 1, - "bits": [ 490 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19502.f1": { - "hide_name": 1, - "bits": [ 491 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19505.f0": { - "hide_name": 1, - "bits": [ 496 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19505.f1": { - "hide_name": 1, - "bits": [ 497 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.f0": { - "hide_name": 1, - "bits": [ 504 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.f1": { - "hide_name": 1, - "bits": [ 505 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.f2": { - "hide_name": 1, - "bits": [ 506 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20917.f0": { "hide_name": 1, "bits": [ 507 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.f4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20917.f1": { "hide_name": 1, "bits": [ 508 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.f5": { - "hide_name": 1, - "bits": [ 509 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.f6": { - "hide_name": 1, - "bits": [ 510 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.f7": { - "hide_name": 1, - "bits": [ 511 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.g0": { - "hide_name": 1, - "bits": [ 513 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.g1": { - "hide_name": 1, - "bits": [ 514 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.g2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.f0": { "hide_name": 1, "bits": [ 515 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.g3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.f1": { "hide_name": 1, "bits": [ 516 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.h0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.f2": { "hide_name": 1, "bits": [ 517 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19506.h1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.f3": { "hide_name": 1, "bits": [ 518 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19509.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.f4": { "hide_name": 1, - "bits": [ 523 ], + "bits": [ 519 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19509.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.f5": { + "hide_name": 1, + "bits": [ 520 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.f6": { + "hide_name": 1, + "bits": [ 521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.f7": { + "hide_name": 1, + "bits": [ 522 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.g0": { "hide_name": 1, "bits": [ 524 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19511.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.g1": { + "hide_name": 1, + "bits": [ 525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.g2": { + "hide_name": 1, + "bits": [ 526 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.g3": { + "hide_name": 1, + "bits": [ 527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.h0": { "hide_name": 1, "bits": [ 529 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19511.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20918.h1": { "hide_name": 1, "bits": [ 530 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19514.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20926.f0": { "hide_name": 1, - "bits": [ 537 ], + "bits": [ 541 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19514.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20926.f1": { "hide_name": 1, - "bits": [ 538 ], + "bits": [ 542 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19517.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20930.f0": { "hide_name": 1, "bits": [ 547 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19517.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20930.f1": { "hide_name": 1, "bits": [ 548 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19521.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.f0": { + "hide_name": 1, + "bits": [ 555 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.f1": { "hide_name": 1, "bits": [ 556 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19521.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.f2": { "hide_name": 1, "bits": [ 557 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19522.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.f3": { + "hide_name": 1, + "bits": [ 558 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.f4": { "hide_name": 1, "bits": [ 559 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19522.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.f5": { "hide_name": 1, "bits": [ 560 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.f6": { + "hide_name": 1, + "bits": [ 561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.f7": { + "hide_name": 1, + "bits": [ 562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.g0": { + "hide_name": 1, + "bits": [ 564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.g1": { + "hide_name": 1, + "bits": [ 565 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.g2": { + "hide_name": 1, + "bits": [ 566 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.g3": { "hide_name": 1, "bits": [ 567 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.h0": { "hide_name": 1, "bits": [ 568 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20931.h1": { "hide_name": 1, "bits": [ 569 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.f3": { - "hide_name": 1, - "bits": [ 570 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.f4": { - "hide_name": 1, - "bits": [ 571 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.f5": { - "hide_name": 1, - "bits": [ 572 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.f6": { - "hide_name": 1, - "bits": [ 573 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.f7": { - "hide_name": 1, - "bits": [ 574 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.g0": { - "hide_name": 1, - "bits": [ 576 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.g1": { - "hide_name": 1, - "bits": [ 577 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.g2": { - "hide_name": 1, - "bits": [ 578 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.g3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20937.f0": { "hide_name": 1, "bits": [ 579 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.h0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20937.f1": { "hide_name": 1, "bits": [ 580 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19523.h1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20940.f0": { "hide_name": 1, - "bits": [ 581 ], + "bits": [ 585 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19526.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20940.f1": { "hide_name": 1, "bits": [ 586 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19526.f1": { - "hide_name": 1, - "bits": [ 587 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19528.f0": { - "hide_name": 1, - "bits": [ 592 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19528.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.f0": { "hide_name": 1, "bits": [ 593 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19531.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.f1": { + "hide_name": 1, + "bits": [ 594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.f2": { + "hide_name": 1, + "bits": [ 595 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.f3": { + "hide_name": 1, + "bits": [ 596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.f4": { + "hide_name": 1, + "bits": [ 597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.f5": { "hide_name": 1, "bits": [ 598 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19531.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.f6": { "hide_name": 1, "bits": [ 599 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.f7": { + "hide_name": 1, + "bits": [ 600 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.g0": { + "hide_name": 1, + "bits": [ 602 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.g1": { + "hide_name": 1, + "bits": [ 603 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.g2": { + "hide_name": 1, + "bits": [ 604 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.g3": { + "hide_name": 1, + "bits": [ 605 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.h0": { "hide_name": 1, "bits": [ 606 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20941.h1": { "hide_name": 1, "bits": [ 607 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.f2": { - "hide_name": 1, - "bits": [ 608 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.f3": { - "hide_name": 1, - "bits": [ 609 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.f4": { - "hide_name": 1, - "bits": [ 610 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.f5": { - "hide_name": 1, - "bits": [ 611 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.f6": { - "hide_name": 1, - "bits": [ 612 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.f7": { - "hide_name": 1, - "bits": [ 613 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.g0": { - "hide_name": 1, - "bits": [ 615 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.g1": { - "hide_name": 1, - "bits": [ 616 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.g2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20947.f0": { "hide_name": 1, "bits": [ 617 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.g3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20947.f1": { "hide_name": 1, "bits": [ 618 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.h0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20950.f0": { "hide_name": 1, - "bits": [ 619 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19532.h1": { - "hide_name": 1, - "bits": [ 620 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19535.f0": { - "hide_name": 1, - "bits": [ 625 ], + "bits": [ 623 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19535.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20950.f1": { "hide_name": 1, - "bits": [ 626 ], + "bits": [ 624 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19537.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.f0": { "hide_name": 1, "bits": [ 631 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19537.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.f1": { "hide_name": 1, "bits": [ 632 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19540.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.f2": { "hide_name": 1, - "bits": [ 639 ], + "bits": [ 633 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19540.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.f3": { + "hide_name": 1, + "bits": [ 634 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.f4": { + "hide_name": 1, + "bits": [ 635 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.f5": { + "hide_name": 1, + "bits": [ 636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.f6": { + "hide_name": 1, + "bits": [ 637 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.f7": { + "hide_name": 1, + "bits": [ 638 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.g0": { "hide_name": 1, "bits": [ 640 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19543.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.g1": { "hide_name": 1, - "bits": [ 649 ], + "bits": [ 641 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.g2": { + "hide_name": 1, + "bits": [ 642 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.g3": { + "hide_name": 1, + "bits": [ 643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.h0": { + "hide_name": 1, + "bits": [ 644 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20951.h1": { + "hide_name": 1, + "bits": [ 645 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20957.f0": { + "hide_name": 1, + "bits": [ 655 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19543.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20957.f1": { "hide_name": 1, - "bits": [ 650 ], + "bits": [ 656 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19547.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20960.f0": { "hide_name": 1, - "bits": [ 658 ], + "bits": [ 661 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19547.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20960.f1": { "hide_name": 1, - "bits": [ 659 ], + "bits": [ 662 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19548.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.f0": { "hide_name": 1, - "bits": [ 663 ], + "bits": [ 669 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19548.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.f1": { "hide_name": 1, - "bits": [ 664 ], + "bits": [ 670 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19551.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.f2": { + "hide_name": 1, + "bits": [ 671 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.f3": { + "hide_name": 1, + "bits": [ 672 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.f4": { "hide_name": 1, "bits": [ 673 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19551.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.f5": { "hide_name": 1, "bits": [ 674 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19555.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.f6": { + "hide_name": 1, + "bits": [ 675 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.f7": { + "hide_name": 1, + "bits": [ 676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.g0": { + "hide_name": 1, + "bits": [ 678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.g1": { + "hide_name": 1, + "bits": [ 679 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.g2": { + "hide_name": 1, + "bits": [ 680 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.g3": { + "hide_name": 1, + "bits": [ 681 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.h0": { "hide_name": 1, "bits": [ 682 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19555.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20961.h1": { "hide_name": 1, "bits": [ 683 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19556.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20967.f0": { "hide_name": 1, - "bits": [ 687 ], + "bits": [ 693 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19556.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20967.f1": { "hide_name": 1, - "bits": [ 688 ], + "bits": [ 694 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19559.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20970.f0": { "hide_name": 1, - "bits": [ 697 ], + "bits": [ 699 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19559.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20970.f1": { "hide_name": 1, - "bits": [ 698 ], + "bits": [ 700 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19563.f0": { - "hide_name": 1, - "bits": [ 706 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19563.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.f0": { "hide_name": 1, "bits": [ 707 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19564.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.f1": { + "hide_name": 1, + "bits": [ 708 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.f2": { "hide_name": 1, "bits": [ 709 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19564.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.f3": { "hide_name": 1, "bits": [ 710 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.f4": { + "hide_name": 1, + "bits": [ 711 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.f5": { + "hide_name": 1, + "bits": [ 712 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.f6": { + "hide_name": 1, + "bits": [ 713 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.f7": { + "hide_name": 1, + "bits": [ 714 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.g0": { + "hide_name": 1, + "bits": [ 716 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.g1": { "hide_name": 1, "bits": [ 717 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.g2": { "hide_name": 1, "bits": [ 718 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.g3": { "hide_name": 1, "bits": [ 719 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.h0": { "hide_name": 1, "bits": [ 720 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.f4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20971.h1": { "hide_name": 1, "bits": [ 721 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.f5": { - "hide_name": 1, - "bits": [ 722 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.f6": { - "hide_name": 1, - "bits": [ 723 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.f7": { - "hide_name": 1, - "bits": [ 724 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.g0": { - "hide_name": 1, - "bits": [ 726 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.g1": { - "hide_name": 1, - "bits": [ 727 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.g2": { - "hide_name": 1, - "bits": [ 728 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.g3": { - "hide_name": 1, - "bits": [ 729 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.h0": { - "hide_name": 1, - "bits": [ 730 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19565.h1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20977.f0": { "hide_name": 1, "bits": [ 731 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19568.f0": { - "hide_name": 1, - "bits": [ 736 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19568.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20977.f1": { + "hide_name": 1, + "bits": [ 732 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20980.f0": { "hide_name": 1, "bits": [ 737 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19570.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20980.f1": { "hide_name": 1, - "bits": [ 742 ], + "bits": [ 738 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19570.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.f0": { "hide_name": 1, - "bits": [ 743 ], + "bits": [ 745 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19573.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.f1": { + "hide_name": 1, + "bits": [ 746 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.f2": { + "hide_name": 1, + "bits": [ 747 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.f3": { + "hide_name": 1, + "bits": [ 748 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.f4": { + "hide_name": 1, + "bits": [ 749 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.f5": { "hide_name": 1, "bits": [ 750 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19573.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.f6": { "hide_name": 1, "bits": [ 751 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19576.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.f7": { "hide_name": 1, - "bits": [ 760 ], + "bits": [ 752 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19576.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.g0": { "hide_name": 1, - "bits": [ 761 ], + "bits": [ 754 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19580.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.g1": { + "hide_name": 1, + "bits": [ 755 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.g2": { + "hide_name": 1, + "bits": [ 756 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.g3": { + "hide_name": 1, + "bits": [ 757 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.h0": { + "hide_name": 1, + "bits": [ 758 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20981.h1": { + "hide_name": 1, + "bits": [ 759 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20987.f0": { "hide_name": 1, "bits": [ 769 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19580.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20987.f1": { "hide_name": 1, "bits": [ 770 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19581.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20990.f0": { "hide_name": 1, - "bits": [ 772 ], + "bits": [ 775 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19581.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20990.f1": { "hide_name": 1, - "bits": [ 773 ], + "bits": [ 776 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.f0": { - "hide_name": 1, - "bits": [ 780 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.f1": { - "hide_name": 1, - "bits": [ 781 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.f2": { - "hide_name": 1, - "bits": [ 782 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.f0": { "hide_name": 1, "bits": [ 783 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.f4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.f1": { "hide_name": 1, "bits": [ 784 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.f5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.f2": { "hide_name": 1, "bits": [ 785 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.f6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.f3": { "hide_name": 1, "bits": [ 786 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.f7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.f4": { "hide_name": 1, "bits": [ 787 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.f5": { + "hide_name": 1, + "bits": [ 788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.f6": { "hide_name": 1, "bits": [ 789 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.f7": { "hide_name": 1, "bits": [ 790 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.g2": { - "hide_name": 1, - "bits": [ 791 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.g3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.g0": { "hide_name": 1, "bits": [ 792 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.h0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.g1": { "hide_name": 1, "bits": [ 793 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19582.h1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.g2": { "hide_name": 1, "bits": [ 794 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19585.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.g3": { "hide_name": 1, - "bits": [ 799 ], + "bits": [ 795 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.h0": { + "hide_name": 1, + "bits": [ 796 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20991.h1": { + "hide_name": 1, + "bits": [ 797 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$20997.f0": { + "hide_name": 1, + "bits": [ 807 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19585.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$20997.f1": { "hide_name": 1, - "bits": [ 800 ], + "bits": [ 808 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19587.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21000.f0": { "hide_name": 1, - "bits": [ 805 ], + "bits": [ 813 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19587.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21000.f1": { "hide_name": 1, - "bits": [ 806 ], + "bits": [ 814 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19596.f0": { - "hide_name": 1, - "bits": [ 820 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19596.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.f0": { "hide_name": 1, "bits": [ 821 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.f1": { + "hide_name": 1, + "bits": [ 822 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.f2": { + "hide_name": 1, + "bits": [ 823 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.f3": { + "hide_name": 1, + "bits": [ 824 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.f4": { "hide_name": 1, "bits": [ 825 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.f5": { "hide_name": 1, "bits": [ 826 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.f6": { "hide_name": 1, "bits": [ 827 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.f7": { "hide_name": 1, "bits": [ 828 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.f4": { - "hide_name": 1, - "bits": [ 829 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.f5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.g0": { "hide_name": 1, "bits": [ 830 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.f6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.g1": { "hide_name": 1, "bits": [ 831 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.f7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.g2": { "hide_name": 1, "bits": [ 832 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.g3": { + "hide_name": 1, + "bits": [ 833 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.h0": { "hide_name": 1, "bits": [ 834 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21001.h1": { "hide_name": 1, "bits": [ 835 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.g2": { - "hide_name": 1, - "bits": [ 836 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.g3": { - "hide_name": 1, - "bits": [ 837 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.h0": { - "hide_name": 1, - "bits": [ 839 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19597.h1": { - "hide_name": 1, - "bits": [ 840 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19598.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21007.f0": { "hide_name": 1, "bits": [ 845 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19598.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21007.f1": { "hide_name": 1, "bits": [ 846 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21010.f0": { "hide_name": 1, "bits": [ 851 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21010.f1": { "hide_name": 1, "bits": [ 852 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.f0": { "hide_name": 1, - "bits": [ 853 ], + "bits": [ 859 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.f3": { - "hide_name": 1, - "bits": [ 854 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.f4": { - "hide_name": 1, - "bits": [ 855 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.f5": { - "hide_name": 1, - "bits": [ 856 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.f6": { - "hide_name": 1, - "bits": [ 857 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.f7": { - "hide_name": 1, - "bits": [ 858 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.f1": { "hide_name": 1, "bits": [ 860 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.f2": { "hide_name": 1, "bits": [ 861 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.g2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.f3": { "hide_name": 1, "bits": [ 862 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.g3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.f4": { "hide_name": 1, "bits": [ 863 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.h0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.f5": { "hide_name": 1, "bits": [ 864 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19606.h1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.f6": { "hide_name": 1, "bits": [ 865 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19607.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.f7": { + "hide_name": 1, + "bits": [ 866 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.g0": { + "hide_name": 1, + "bits": [ 868 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.g1": { "hide_name": 1, "bits": [ 869 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19607.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.g2": { "hide_name": 1, "bits": [ 870 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.g3": { + "hide_name": 1, + "bits": [ 871 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.h0": { + "hide_name": 1, + "bits": [ 872 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21011.h1": { "hide_name": 1, "bits": [ 873 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.f1": { - "hide_name": 1, - "bits": [ 874 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.f2": { - "hide_name": 1, - "bits": [ 875 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.f3": { - "hide_name": 1, - "bits": [ 876 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.f4": { - "hide_name": 1, - "bits": [ 877 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.f5": { - "hide_name": 1, - "bits": [ 878 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.f6": { - "hide_name": 1, - "bits": [ 879 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.f7": { - "hide_name": 1, - "bits": [ 880 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.g0": { - "hide_name": 1, - "bits": [ 882 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21017.f0": { "hide_name": 1, "bits": [ 883 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.g2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21017.f1": { "hide_name": 1, "bits": [ 884 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.g3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21020.f0": { "hide_name": 1, - "bits": [ 885 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.h0": { - "hide_name": 1, - "bits": [ 886 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19611.h1": { - "hide_name": 1, - "bits": [ 887 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19612.f0": { - "hide_name": 1, - "bits": [ 891 ], + "bits": [ 889 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19612.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21020.f1": { "hide_name": 1, - "bits": [ 892 ], + "bits": [ 890 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.f0": { - "hide_name": 1, - "bits": [ 895 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.f1": { - "hide_name": 1, - "bits": [ 896 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.f0": { "hide_name": 1, "bits": [ 897 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.f1": { "hide_name": 1, "bits": [ 898 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.f4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.f2": { "hide_name": 1, "bits": [ 899 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.f5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.f3": { "hide_name": 1, "bits": [ 900 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.f6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.f4": { "hide_name": 1, "bits": [ 901 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.f7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.f5": { "hide_name": 1, "bits": [ 902 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.f6": { + "hide_name": 1, + "bits": [ 903 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.f7": { "hide_name": 1, "bits": [ 904 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.g1": { - "hide_name": 1, - "bits": [ 905 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.g2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.g0": { "hide_name": 1, "bits": [ 906 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.g3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.g1": { "hide_name": 1, "bits": [ 907 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.h0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.g2": { "hide_name": 1, "bits": [ 908 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19616.h1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.g3": { "hide_name": 1, "bits": [ 909 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19617.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.h0": { "hide_name": 1, - "bits": [ 913 ], + "bits": [ 910 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21021.h1": { + "hide_name": 1, + "bits": [ 911 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21027.f0": { + "hide_name": 1, + "bits": [ 921 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19617.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21027.f1": { "hide_name": 1, - "bits": [ 914 ], + "bits": [ 922 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21030.f0": { "hide_name": 1, - "bits": [ 950 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.f1": { - "hide_name": 1, - "bits": [ 951 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.f2": { - "hide_name": 1, - "bits": [ 952 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.f3": { - "hide_name": 1, - "bits": [ 953 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.g0": { - "hide_name": 1, - "bits": [ 955 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19652.g1": { - "hide_name": 1, - "bits": [ 956 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19653.f0": { - "hide_name": 1, - "bits": [ 962 ], + "bits": [ 927 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19653.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21030.f1": { "hide_name": 1, - "bits": [ 963 ], + "bits": [ 928 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19656.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.f0": { + "hide_name": 1, + "bits": [ 935 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.f1": { + "hide_name": 1, + "bits": [ 936 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.f2": { + "hide_name": 1, + "bits": [ 937 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.f3": { + "hide_name": 1, + "bits": [ 938 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.f4": { + "hide_name": 1, + "bits": [ 939 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.f5": { + "hide_name": 1, + "bits": [ 940 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.f6": { + "hide_name": 1, + "bits": [ 941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.f7": { + "hide_name": 1, + "bits": [ 942 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.g0": { + "hide_name": 1, + "bits": [ 944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.g1": { + "hide_name": 1, + "bits": [ 945 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.g2": { + "hide_name": 1, + "bits": [ 946 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.g3": { + "hide_name": 1, + "bits": [ 947 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.h0": { + "hide_name": 1, + "bits": [ 948 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21031.h1": { + "hide_name": 1, + "bits": [ 949 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21037.f0": { + "hide_name": 1, + "bits": [ 959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21037.f1": { + "hide_name": 1, + "bits": [ 960 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21040.f0": { + "hide_name": 1, + "bits": [ 965 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21040.f1": { "hide_name": 1, "bits": [ 966 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19656.f1": { - "hide_name": 1, - "bits": [ 967 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.f0": { - "hide_name": 1, - "bits": [ 968 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.f1": { - "hide_name": 1, - "bits": [ 969 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.f2": { - "hide_name": 1, - "bits": [ 970 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.f3": { - "hide_name": 1, - "bits": [ 971 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.f4": { - "hide_name": 1, - "bits": [ 972 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.f5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.f0": { "hide_name": 1, "bits": [ 973 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.f6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.f1": { "hide_name": 1, "bits": [ 974 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.f7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.f2": { "hide_name": 1, "bits": [ 975 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.f3": { "hide_name": 1, "bits": [ 976 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.f4": { "hide_name": 1, "bits": [ 977 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.g2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.f5": { "hide_name": 1, "bits": [ 978 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.g3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.f6": { "hide_name": 1, "bits": [ 979 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.h0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.f7": { "hide_name": 1, "bits": [ 980 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19657.h1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.g0": { "hide_name": 1, - "bits": [ 981 ], + "bits": [ 982 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19659.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.g1": { + "hide_name": 1, + "bits": [ 983 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.g2": { + "hide_name": 1, + "bits": [ 984 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.g3": { "hide_name": 1, "bits": [ 985 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19659.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.h0": { "hide_name": 1, "bits": [ 986 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21041.h1": { "hide_name": 1, - "bits": [ 989 ], + "bits": [ 987 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.f1": { - "hide_name": 1, - "bits": [ 990 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.f2": { - "hide_name": 1, - "bits": [ 991 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.f3": { - "hide_name": 1, - "bits": [ 992 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.g0": { - "hide_name": 1, - "bits": [ 994 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19660.g1": { - "hide_name": 1, - "bits": [ 995 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21047.f0": { "hide_name": 1, "bits": [ 997 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21047.f1": { "hide_name": 1, "bits": [ 998 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.f2": { - "hide_name": 1, - "bits": [ 999 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.f3": { - "hide_name": 1, - "bits": [ 1000 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.f4": { - "hide_name": 1, - "bits": [ 1001 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.f5": { - "hide_name": 1, - "bits": [ 1002 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.f6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21050.f0": { "hide_name": 1, "bits": [ 1003 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.f7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21050.f1": { "hide_name": 1, "bits": [ 1004 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.g0": { - "hide_name": 1, - "bits": [ 1005 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.g1": { - "hide_name": 1, - "bits": [ 1006 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.g2": { - "hide_name": 1, - "bits": [ 1007 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.g3": { - "hide_name": 1, - "bits": [ 1008 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.h0": { - "hide_name": 1, - "bits": [ 1009 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19662.h1": { - "hide_name": 1, - "bits": [ 1010 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19663.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.f0": { "hide_name": 1, "bits": [ 1011 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19663.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.f1": { "hide_name": 1, "bits": [ 1012 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19664.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.f2": { + "hide_name": 1, + "bits": [ 1013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.f3": { "hide_name": 1, "bits": [ 1014 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19664.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.f4": { "hide_name": 1, "bits": [ 1015 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.f5": { + "hide_name": 1, + "bits": [ 1016 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.f6": { "hide_name": 1, "bits": [ 1017 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.f7": { "hide_name": 1, "bits": [ 1018 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.f2": { - "hide_name": 1, - "bits": [ 1019 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.g0": { "hide_name": 1, "bits": [ 1020 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.f4": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.g1": { "hide_name": 1, "bits": [ 1021 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.f5": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.g2": { "hide_name": 1, "bits": [ 1022 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.f6": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.g3": { "hide_name": 1, "bits": [ 1023 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.f7": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.h0": { "hide_name": 1, "bits": [ 1024 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21051.h1": { "hide_name": 1, "bits": [ 1025 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.g1": { - "hide_name": 1, - "bits": [ 1026 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.g2": { - "hide_name": 1, - "bits": [ 1027 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.g3": { - "hide_name": 1, - "bits": [ 1028 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.h0": { - "hide_name": 1, - "bits": [ 1029 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19665.h1": { - "hide_name": 1, - "bits": [ 1030 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19667.f0": { - "hide_name": 1, - "bits": [ 1031 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19667.f1": { - "hide_name": 1, - "bits": [ 1032 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.f0": { - "hide_name": 1, - "bits": [ 1034 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21057.f0": { "hide_name": 1, "bits": [ 1035 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21057.f1": { "hide_name": 1, "bits": [ 1036 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21060.f0": { "hide_name": 1, - "bits": [ 1037 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.g0": { - "hide_name": 1, - "bits": [ 1039 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19669.g1": { - "hide_name": 1, - "bits": [ 1040 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19673.f0": { - "hide_name": 1, - "bits": [ 1045 ], + "bits": [ 1041 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19673.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21060.f1": { "hide_name": 1, - "bits": [ 1046 ], + "bits": [ 1042 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19679.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.f0": { + "hide_name": 1, + "bits": [ 1049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.f1": { "hide_name": 1, "bits": [ 1050 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19679.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.f2": { "hide_name": 1, "bits": [ 1051 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19681.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.f3": { + "hide_name": 1, + "bits": [ 1052 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.f4": { + "hide_name": 1, + "bits": [ 1053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.f5": { "hide_name": 1, "bits": [ 1054 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19681.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.f6": { "hide_name": 1, "bits": [ 1055 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19684.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.f7": { + "hide_name": 1, + "bits": [ 1056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.g0": { "hide_name": 1, "bits": [ 1058 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19684.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.g1": { "hide_name": 1, "bits": [ 1059 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.g2": { + "hide_name": 1, + "bits": [ 1060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.g3": { + "hide_name": 1, + "bits": [ 1061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.h0": { + "hide_name": 1, + "bits": [ 1062 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21061.h1": { + "hide_name": 1, + "bits": [ 1063 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21067.f0": { + "hide_name": 1, + "bits": [ 1073 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19818.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21067.f1": { + "hide_name": 1, + "bits": [ 1074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21070.f0": { + "hide_name": 1, + "bits": [ 1079 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21070.f1": { + "hide_name": 1, + "bits": [ 1080 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.f0": { + "hide_name": 1, + "bits": [ 1087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.f1": { + "hide_name": 1, + "bits": [ 1088 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.f2": { + "hide_name": 1, + "bits": [ 1089 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.f3": { + "hide_name": 1, + "bits": [ 1090 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.f4": { + "hide_name": 1, + "bits": [ 1091 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.f5": { + "hide_name": 1, + "bits": [ 1092 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.f6": { + "hide_name": 1, + "bits": [ 1093 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.f7": { + "hide_name": 1, + "bits": [ 1094 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.g0": { + "hide_name": 1, + "bits": [ 1096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.g1": { + "hide_name": 1, + "bits": [ 1097 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.g2": { + "hide_name": 1, + "bits": [ 1098 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.g3": { + "hide_name": 1, + "bits": [ 1099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.h0": { + "hide_name": 1, + "bits": [ 1100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21071.h1": { + "hide_name": 1, + "bits": [ 1101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21077.f0": { + "hide_name": 1, + "bits": [ 1111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21077.f1": { + "hide_name": 1, + "bits": [ 1112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21080.f0": { + "hide_name": 1, + "bits": [ 1118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21080.f1": { + "hide_name": 1, + "bits": [ 1119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21085.f0": { + "hide_name": 1, + "bits": [ 1127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21085.f1": { + "hide_name": 1, + "bits": [ 1128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.f0": { + "hide_name": 1, + "bits": [ 1132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.f1": { + "hide_name": 1, + "bits": [ 1133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.f2": { + "hide_name": 1, + "bits": [ 1134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.f3": { + "hide_name": 1, + "bits": [ 1135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.g0": { + "hide_name": 1, + "bits": [ 1137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21086.g1": { + "hide_name": 1, + "bits": [ 1138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21087.f0": { + "hide_name": 1, + "bits": [ 1143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21087.f1": { + "hide_name": 1, + "bits": [ 1144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.f0": { + "hide_name": 1, + "bits": [ 1148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.f1": { + "hide_name": 1, + "bits": [ 1149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.f2": { + "hide_name": 1, + "bits": [ 1150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.f3": { + "hide_name": 1, + "bits": [ 1151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.g0": { + "hide_name": 1, + "bits": [ 1152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21089.g1": { + "hide_name": 1, + "bits": [ 1153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21090.f0": { + "hide_name": 1, + "bits": [ 1154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21090.f1": { + "hide_name": 1, + "bits": [ 1155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.f0": { + "hide_name": 1, + "bits": [ 1158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.f1": { + "hide_name": 1, + "bits": [ 1159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.f2": { + "hide_name": 1, + "bits": [ 1160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.f3": { + "hide_name": 1, + "bits": [ 1161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.g0": { + "hide_name": 1, + "bits": [ 1162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21095.g1": { + "hide_name": 1, + "bits": [ 1163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21096.f0": { + "hide_name": 1, + "bits": [ 1167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21096.f1": { + "hide_name": 1, + "bits": [ 1168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.f0": { + "hide_name": 1, + "bits": [ 1170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.f1": { + "hide_name": 1, + "bits": [ 1171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.f2": { + "hide_name": 1, + "bits": [ 1172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.f3": { + "hide_name": 1, + "bits": [ 1173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.g0": { + "hide_name": 1, + "bits": [ 1174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21097.g1": { + "hide_name": 1, + "bits": [ 1175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21098.f0": { + "hide_name": 1, + "bits": [ 1176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21098.f1": { + "hide_name": 1, + "bits": [ 1177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.f0": { + "hide_name": 1, + "bits": [ 1180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.f1": { + "hide_name": 1, + "bits": [ 1181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.f2": { + "hide_name": 1, + "bits": [ 1182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.f3": { + "hide_name": 1, + "bits": [ 1183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.g0": { + "hide_name": 1, + "bits": [ 1184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21100.g1": { + "hide_name": 1, + "bits": [ 1185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21101.f0": { + "hide_name": 1, + "bits": [ 1189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21101.f1": { + "hide_name": 1, + "bits": [ 1190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.f0": { + "hide_name": 1, + "bits": [ 1192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.f1": { + "hide_name": 1, + "bits": [ 1193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.f2": { + "hide_name": 1, + "bits": [ 1194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.f3": { + "hide_name": 1, + "bits": [ 1195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.g0": { + "hide_name": 1, + "bits": [ 1196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21102.g1": { + "hide_name": 1, + "bits": [ 1197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21103.f0": { + "hide_name": 1, + "bits": [ 1198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21103.f1": { + "hide_name": 1, + "bits": [ 1199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.f0": { + "hide_name": 1, + "bits": [ 1202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.f1": { + "hide_name": 1, + "bits": [ 1203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.f2": { + "hide_name": 1, + "bits": [ 1204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.f3": { + "hide_name": 1, + "bits": [ 1205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.g0": { + "hide_name": 1, + "bits": [ 1206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21105.g1": { + "hide_name": 1, + "bits": [ 1207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21106.f0": { + "hide_name": 1, + "bits": [ 1211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21106.f1": { + "hide_name": 1, + "bits": [ 1212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.f0": { + "hide_name": 1, + "bits": [ 1214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.f1": { + "hide_name": 1, + "bits": [ 1215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.f2": { + "hide_name": 1, + "bits": [ 1216 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.f3": { + "hide_name": 1, + "bits": [ 1217 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.g0": { + "hide_name": 1, + "bits": [ 1218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21107.g1": { + "hide_name": 1, + "bits": [ 1219 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21108.f0": { "hide_name": 1, "bits": [ 1220 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19818.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21108.f1": { "hide_name": 1, "bits": [ 1221 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21212.f0": { "hide_name": 1, - "bits": [ 1224 ], + "bits": [ 1366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21212.f1": { + "hide_name": 1, + "bits": [ 1367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21213.f0": { + "hide_name": 1, + "bits": [ 1368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21213.f1": { + "hide_name": 1, + "bits": [ 1369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21217.f0": { + "hide_name": 1, + "bits": [ 1373 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21217.f1": { + "hide_name": 1, + "bits": [ 1374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21228.f0": { + "hide_name": 1, + "bits": [ 1386 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21228.f1": { + "hide_name": 1, + "bits": [ 1387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21234.f0": { + "hide_name": 1, + "bits": [ 1397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21234.f1": { + "hide_name": 1, + "bits": [ 1398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21235.f0": { + "hide_name": 1, + "bits": [ 1404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21235.f1": { + "hide_name": 1, + "bits": [ 1405 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21237.f0": { + "hide_name": 1, + "bits": [ 1407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21237.f1": { + "hide_name": 1, + "bits": [ 1408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.f0": { + "hide_name": 1, + "bits": [ 1411 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.f1": { "hide_name": 1, - "bits": [ 1225 ], + "bits": [ 1412 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.f2": { "hide_name": 1, - "bits": [ 1226 ], + "bits": [ 1413 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.f3": { "hide_name": 1, - "bits": [ 1227 ], + "bits": [ 1414 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.g0": { "hide_name": 1, - "bits": [ 1228 ], + "bits": [ 1416 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19821.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21241.g1": { "hide_name": 1, - "bits": [ 1229 ], + "bits": [ 1417 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19831.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21243.f0": { "hide_name": 1, - "bits": [ 1239 ], + "bits": [ 1420 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19831.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21243.f1": { "hide_name": 1, - "bits": [ 1240 ], + "bits": [ 1421 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19837.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21246.f0": { "hide_name": 1, - "bits": [ 1249 ], + "bits": [ 1423 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19837.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21246.f1": { "hide_name": 1, - "bits": [ 1250 ], + "bits": [ 1424 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19840.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.f0": { "hide_name": 1, - "bits": [ 1255 ], + "bits": [ 1429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.f1": { + "hide_name": 1, + "bits": [ 1430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.f2": { + "hide_name": 1, + "bits": [ 1431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.f3": { + "hide_name": 1, + "bits": [ 1432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.f4": { + "hide_name": 1, + "bits": [ 1433 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.f5": { + "hide_name": 1, + "bits": [ 1434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.f6": { + "hide_name": 1, + "bits": [ 1435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.f7": { + "hide_name": 1, + "bits": [ 1436 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.g0": { + "hide_name": 1, + "bits": [ 1438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.g1": { + "hide_name": 1, + "bits": [ 1439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.g2": { + "hide_name": 1, + "bits": [ 1440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.g3": { + "hide_name": 1, + "bits": [ 1441 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.h0": { + "hide_name": 1, + "bits": [ 1443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21248.h1": { + "hide_name": 1, + "bits": [ 1444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21249.f0": { + "hide_name": 1, + "bits": [ 1446 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19840.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21249.f1": { "hide_name": 1, - "bits": [ 1256 ], + "bits": [ 1447 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21250.f0": { "hide_name": 1, - "bits": [ 1258 ], + "bits": [ 1450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21250.f1": { + "hide_name": 1, + "bits": [ 1451 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.f0": { + "hide_name": 1, + "bits": [ 1452 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.f1": { "hide_name": 1, - "bits": [ 1259 ], + "bits": [ 1453 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.f2": { "hide_name": 1, - "bits": [ 1260 ], + "bits": [ 1454 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.f3": { "hide_name": 1, - "bits": [ 1261 ], + "bits": [ 1455 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.g0": { "hide_name": 1, - "bits": [ 1262 ], + "bits": [ 1456 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19843.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21251.g1": { "hide_name": 1, - "bits": [ 1263 ], + "bits": [ 1457 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19844.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21253.f0": { "hide_name": 1, - "bits": [ 1265 ], + "bits": [ 1458 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19844.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21253.f1": { "hide_name": 1, - "bits": [ 1266 ], + "bits": [ 1459 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.f0": { "hide_name": 1, - "bits": [ 1268 ], + "bits": [ 1462 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.f1": { "hide_name": 1, - "bits": [ 1269 ], + "bits": [ 1463 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.f2": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.f2": { "hide_name": 1, - "bits": [ 1270 ], + "bits": [ 1464 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.f3": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.f3": { "hide_name": 1, - "bits": [ 1271 ], + "bits": [ 1465 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.g0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.g0": { "hide_name": 1, - "bits": [ 1273 ], + "bits": [ 1467 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19845.g1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21256.g1": { "hide_name": 1, - "bits": [ 1274 ], + "bits": [ 1468 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19846.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21261.f0": { "hide_name": 1, - "bits": [ 1275 ], + "bits": [ 1470 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19846.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21261.f1": { "hide_name": 1, - "bits": [ 1276 ], + "bits": [ 1471 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19853.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21269.f0": { "hide_name": 1, - "bits": [ 1283 ], + "bits": [ 1483 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19853.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21269.f1": { "hide_name": 1, - "bits": [ 1284 ], + "bits": [ 1484 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19865.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21272.f0": { "hide_name": 1, - "bits": [ 1298 ], + "bits": [ 1486 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19865.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21272.f1": { "hide_name": 1, - "bits": [ 1299 ], + "bits": [ 1487 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19868.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.f0": { "hide_name": 1, - "bits": [ 1303 ], + "bits": [ 1495 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.f1": { + "hide_name": 1, + "bits": [ 1496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.f2": { + "hide_name": 1, + "bits": [ 1497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.f3": { + "hide_name": 1, + "bits": [ 1498 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.g0": { + "hide_name": 1, + "bits": [ 1499 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21275.g1": { + "hide_name": 1, + "bits": [ 1500 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21276.f0": { + "hide_name": 1, + "bits": [ 1502 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19868.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21276.f1": { "hide_name": 1, - "bits": [ 1304 ], + "bits": [ 1503 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19873.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.f0": { "hide_name": 1, - "bits": [ 1309 ], + "bits": [ 1504 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.f1": { + "hide_name": 1, + "bits": [ 1505 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.f2": { + "hide_name": 1, + "bits": [ 1506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.f3": { + "hide_name": 1, + "bits": [ 1507 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.g0": { + "hide_name": 1, + "bits": [ 1508 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21278.g1": { + "hide_name": 1, + "bits": [ 1509 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21282.f0": { + "hide_name": 1, + "bits": [ 1512 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19873.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21282.f1": { "hide_name": 1, - "bits": [ 1310 ], + "bits": [ 1513 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19876.f0": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.f0": { "hide_name": 1, - "bits": [ 1314 ], + "bits": [ 1516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.f1": { + "hide_name": 1, + "bits": [ 1517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.f2": { + "hide_name": 1, + "bits": [ 1518 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.f3": { + "hide_name": 1, + "bits": [ 1519 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.g0": { + "hide_name": 1, + "bits": [ 1520 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21283.g1": { + "hide_name": 1, + "bits": [ 1521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$20640$auto$blifparse.cc:492:parse_blif$21285.f0": { + "hide_name": 1, + "bits": [ 1522 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$auto$blifparse.cc:492:parse_blif$19876.f1": { + "$abc$20640$auto$blifparse.cc:492:parse_blif$21285.f1": { "hide_name": 1, - "bits": [ 1315 ], + "bits": [ 1523 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$19316$n1000": { + "$abc$20640$n1010": { "hide_name": 1, - "bits": [ 924 ], + "bits": [ 469 ], "attributes": { } }, - "$abc$19316$n1001": { + "$abc$20640$n1011": { "hide_name": 1, - "bits": [ 926 ], + "bits": [ 471 ], "attributes": { } }, - "$abc$19316$n1003": { + "$abc$20640$n1016": { "hide_name": 1, - "bits": [ 927 ], + "bits": [ 479 ], "attributes": { } }, - "$abc$19316$n1004_1": { + "$abc$20640$n1021": { "hide_name": 1, - "bits": [ 929 ], + "bits": [ 488 ], "attributes": { } }, - "$abc$19316$n1022": { + "$abc$20640$n1024": { "hide_name": 1, - "bits": [ 946 ], + "bits": [ 493 ], "attributes": { } }, - "$abc$19316$n1023": { + "$abc$20640$n1029": { "hide_name": 1, - "bits": [ 961 ], + "bits": [ 263 ], "attributes": { } }, - "$abc$19316$n1024": { + "$abc$20640$n1031": { "hide_name": 1, - "bits": [ 960 ], + "bits": [ 509 ], "attributes": { } }, - "$abc$19316$n1025_1": { + "$abc$20640$n1032": { "hide_name": 1, - "bits": [ 965 ], + "bits": [ 528 ], "attributes": { } }, - "$abc$19316$n1026_1": { - "hide_name": 1, - "bits": [ 959 ], - "attributes": { - } - }, - "$abc$19316$n1027_1": { - "hide_name": 1, - "bits": [ 964 ], - "attributes": { - } - }, - "$abc$19316$n1028": { - "hide_name": 1, - "bits": [ 954 ], - "attributes": { - } - }, - "$abc$19316$n1029": { - "hide_name": 1, - "bits": [ 987 ], - "attributes": { - } - }, - "$abc$19316$n1030": { - "hide_name": 1, - "bits": [ 996 ], - "attributes": { - } - }, - "$abc$19316$n1031": { - "hide_name": 1, - "bits": [ 988 ], - "attributes": { - } - }, - "$abc$19316$n1032_1": { - "hide_name": 1, - "bits": [ 993 ], - "attributes": { - } - }, - "$abc$19316$n1033_1": { - "hide_name": 1, - "bits": [ 982 ], - "attributes": { - } - }, - "$abc$19316$n1034_1": { - "hide_name": 1, - "bits": [ 1013 ], - "attributes": { - } - }, - "$abc$19316$n1035": { - "hide_name": 1, - "bits": [ 1016 ], - "attributes": { - } - }, - "$abc$19316$n1036": { - "hide_name": 1, - "bits": [ 983 ], - "attributes": { - } - }, - "$abc$19316$n1037": { - "hide_name": 1, - "bits": [ 984 ], - "attributes": { - } - }, - "$abc$19316$n1038": { - "hide_name": 1, - "bits": [ 947 ], - "attributes": { - } - }, - "$abc$19316$n1039_1": { - "hide_name": 1, - "bits": [ 1041 ], - "attributes": { - } - }, - "$abc$19316$n1040_1": { - "hide_name": 1, - "bits": [ 1042 ], - "attributes": { - } - }, - "$abc$19316$n1041_1": { - "hide_name": 1, - "bits": [ 1038 ], - "attributes": { - } - }, - "$abc$19316$n1042": { - "hide_name": 1, - "bits": [ 1033 ], - "attributes": { - } - }, - "$abc$19316$n1043": { - "hide_name": 1, - "bits": [ 1043 ], - "attributes": { - } - }, - "$abc$19316$n1044": { - "hide_name": 1, - "bits": [ 1044 ], - "attributes": { - } - }, - "$abc$19316$n1045": { - "hide_name": 1, - "bits": [ 1047 ], - "attributes": { - } - }, - "$abc$19316$n1046_1": { - "hide_name": 1, - "bits": [ 948 ], - "attributes": { - } - }, - "$abc$19316$n1047_1": { - "hide_name": 1, - "bits": [ 1049 ], - "attributes": { - } - }, - "$abc$19316$n1048": { - "hide_name": 1, - "bits": [ 1048 ], - "attributes": { - } - }, - "$abc$19316$n1049": { - "hide_name": 1, - "bits": [ 949 ], - "attributes": { - } - }, - "$abc$19316$n1050_1": { - "hide_name": 1, - "bits": [ 1052 ], - "attributes": { - } - }, - "$abc$19316$n1051": { - "hide_name": 1, - "bits": [ 1053 ], - "attributes": { - } - }, - "$abc$19316$n1052": { - "hide_name": 1, - "bits": [ 957 ], - "attributes": { - } - }, - "$abc$19316$n1053": { - "hide_name": 1, - "bits": [ 1056 ], - "attributes": { - } - }, - "$abc$19316$n1054": { - "hide_name": 1, - "bits": [ 1057 ], - "attributes": { - } - }, - "$abc$19316$n1055": { - "hide_name": 1, - "bits": [ 1060 ], - "attributes": { - } - }, - "$abc$19316$n1057": { - "hide_name": 1, - "bits": [ 1062 ], - "attributes": { - } - }, - "$abc$19316$n1059": { - "hide_name": 1, - "bits": [ 1066 ], - "attributes": { - } - }, - "$abc$19316$n1061": { - "hide_name": 1, - "bits": [ 1070 ], - "attributes": { - } - }, - "$abc$19316$n1063": { - "hide_name": 1, - "bits": [ 1074 ], - "attributes": { - } - }, - "$abc$19316$n1064": { - "hide_name": 1, - "bits": [ 1076 ], - "attributes": { - } - }, - "$abc$19316$n1065": { - "hide_name": 1, - "bits": [ 1073 ], - "attributes": { - } - }, - "$abc$19316$n1067": { - "hide_name": 1, - "bits": [ 1077 ], - "attributes": { - } - }, - "$abc$19316$n1068": { - "hide_name": 1, - "bits": [ 1079 ], - "attributes": { - } - }, - "$abc$19316$n1069": { - "hide_name": 1, - "bits": [ 1080 ], - "attributes": { - } - }, - "$abc$19316$n1088": { - "hide_name": 1, - "bits": [ 1098 ], - "attributes": { - } - }, - "$abc$19316$n1137": { - "hide_name": 1, - "bits": [ 266 ], - "attributes": { - } - }, - "$abc$19316$n1139": { - "hide_name": 1, - "bits": [ 267 ], - "attributes": { - } - }, - "$abc$19316$n1141": { - "hide_name": 1, - "bits": [ 268 ], - "attributes": { - } - }, - "$abc$19316$n1143": { - "hide_name": 1, - "bits": [ 269 ], - "attributes": { - } - }, - "$abc$19316$n1145": { - "hide_name": 1, - "bits": [ 270 ], - "attributes": { - } - }, - "$abc$19316$n1147": { - "hide_name": 1, - "bits": [ 271 ], - "attributes": { - } - }, - "$abc$19316$n1149": { - "hide_name": 1, - "bits": [ 272 ], - "attributes": { - } - }, - "$abc$19316$n1151": { - "hide_name": 1, - "bits": [ 273 ], - "attributes": { - } - }, - "$abc$19316$n1153": { - "hide_name": 1, - "bits": [ 274 ], - "attributes": { - } - }, - "$abc$19316$n1155": { - "hide_name": 1, - "bits": [ 275 ], - "attributes": { - } - }, - "$abc$19316$n1157": { - "hide_name": 1, - "bits": [ 276 ], - "attributes": { - } - }, - "$abc$19316$n1159": { - "hide_name": 1, - "bits": [ 277 ], - "attributes": { - } - }, - "$abc$19316$n1161": { - "hide_name": 1, - "bits": [ 278 ], - "attributes": { - } - }, - "$abc$19316$n1163": { - "hide_name": 1, - "bits": [ 279 ], - "attributes": { - } - }, - "$abc$19316$n1165": { - "hide_name": 1, - "bits": [ 280 ], - "attributes": { - } - }, - "$abc$19316$n1167": { - "hide_name": 1, - "bits": [ 281 ], - "attributes": { - } - }, - "$abc$19316$n1170": { - "hide_name": 1, - "bits": [ 1182 ], - "attributes": { - } - }, - "$abc$19316$n1171": { - "hide_name": 1, - "bits": [ 1183 ], - "attributes": { - } - }, - "$abc$19316$n1172": { - "hide_name": 1, - "bits": [ 284 ], - "attributes": { - } - }, - "$abc$19316$n1173": { - "hide_name": 1, - "bits": [ 1191 ], - "attributes": { - } - }, - "$abc$19316$n1174": { - "hide_name": 1, - "bits": [ 1192 ], - "attributes": { - } - }, - "$abc$19316$n1176": { - "hide_name": 1, - "bits": [ 1198 ], - "attributes": { - } - }, - "$abc$19316$n1177": { - "hide_name": 1, - "bits": [ 1199 ], - "attributes": { - } - }, - "$abc$19316$n1179": { - "hide_name": 1, - "bits": [ 1205 ], - "attributes": { - } - }, - "$abc$19316$n1180": { - "hide_name": 1, - "bits": [ 1206 ], - "attributes": { - } - }, - "$abc$19316$n1185": { - "hide_name": 1, - "bits": [ 1214 ], - "attributes": { - } - }, - "$abc$19316$n1187": { - "hide_name": 1, - "bits": [ 1217 ], - "attributes": { - } - }, - "$abc$19316$n1188": { - "hide_name": 1, - "bits": [ 1219 ], - "attributes": { - } - }, - "$abc$19316$n1189": { - "hide_name": 1, - "bits": [ 1223 ], - "attributes": { - } - }, - "$abc$19316$n1190": { - "hide_name": 1, - "bits": [ 1222 ], - "attributes": { - } - }, - "$abc$19316$n1191": { - "hide_name": 1, - "bits": [ 1216 ], - "attributes": { - } - }, - "$abc$19316$n1193": { - "hide_name": 1, - "bits": [ 1230 ], - "attributes": { - } - }, - "$abc$19316$n1194": { - "hide_name": 1, - "bits": [ 1232 ], - "attributes": { - } - }, - "$abc$19316$n1201": { - "hide_name": 1, - "bits": [ 1238 ], - "attributes": { - } - }, - "$abc$19316$n1202": { - "hide_name": 1, - "bits": [ 1241 ], - "attributes": { - } - }, - "$abc$19316$n1203": { - "hide_name": 1, - "bits": [ 1243 ], - "attributes": { - } - }, - "$abc$19316$n1205": { - "hide_name": 1, - "bits": [ 1244 ], - "attributes": { - } - }, - "$abc$19316$n1207": { - "hide_name": 1, - "bits": [ 1247 ], - "attributes": { - } - }, - "$abc$19316$n1208": { - "hide_name": 1, - "bits": [ 1253 ], - "attributes": { - } - }, - "$abc$19316$n1209": { - "hide_name": 1, - "bits": [ 327 ], - "attributes": { - } - }, - "$abc$19316$n1209_1": { - "hide_name": 1, - "bits": [ 1246 ], - "attributes": { - } - }, - "$abc$19316$n1210": { - "hide_name": 1, - "bits": [ 1254 ], - "attributes": { - } - }, - "$abc$19316$n1211": { - "hide_name": 1, - "bits": [ 351 ], - "attributes": { - } - }, - "$abc$19316$n1211_1": { - "hide_name": 1, - "bits": [ 1248 ], - "attributes": { - } - }, - "$abc$19316$n1212": { - "hide_name": 1, - "bits": [ 1257 ], - "attributes": { - } - }, - "$abc$19316$n1213": { - "hide_name": 1, - "bits": [ 392 ], - "attributes": { - } - }, - "$abc$19316$n1213_1": { - "hide_name": 1, - "bits": [ 1251 ], - "attributes": { - } - }, - "$abc$19316$n1214": { - "hide_name": 1, - "bits": [ 1267 ], - "attributes": { - } - }, - "$abc$19316$n1215": { - "hide_name": 1, - "bits": [ 431 ], - "attributes": { - } - }, - "$abc$19316$n1215_1": { - "hide_name": 1, - "bits": [ 1272 ], - "attributes": { - } - }, - "$abc$19316$n1216": { - "hide_name": 1, - "bits": [ 1264 ], - "attributes": { - } - }, - "$abc$19316$n1217": { - "hide_name": 1, - "bits": [ 453 ], - "attributes": { - } - }, - "$abc$19316$n1218": { - "hide_name": 1, - "bits": [ 1278 ], - "attributes": { - } - }, - "$abc$19316$n1219": { - "hide_name": 1, - "bits": [ 494 ], - "attributes": { - } - }, - "$abc$19316$n1220": { - "hide_name": 1, - "bits": [ 1281 ], - "attributes": { - } - }, - "$abc$19316$n1221": { - "hide_name": 1, - "bits": [ 533 ], - "attributes": { - } - }, - "$abc$19316$n1221_1": { - "hide_name": 1, - "bits": [ 1280 ], - "attributes": { - } - }, - "$abc$19316$n1223": { - "hide_name": 1, - "bits": [ 555 ], - "attributes": { - } - }, - "$abc$19316$n1225": { - "hide_name": 1, - "bits": [ 596 ], - "attributes": { - } - }, - "$abc$19316$n1227": { - "hide_name": 1, - "bits": [ 635 ], - "attributes": { - } - }, - "$abc$19316$n1227_1": { - "hide_name": 1, - "bits": [ 1289 ], - "attributes": { - } - }, - "$abc$19316$n1229": { - "hide_name": 1, - "bits": [ 657 ], - "attributes": { - } - }, - "$abc$19316$n1230": { - "hide_name": 1, - "bits": [ 1293 ], - "attributes": { - } - }, - "$abc$19316$n1231": { - "hide_name": 1, - "bits": [ 681 ], - "attributes": { - } - }, - "$abc$19316$n1231_1": { - "hide_name": 1, - "bits": [ 1292 ], - "attributes": { - } - }, - "$abc$19316$n1233": { - "hide_name": 1, - "bits": [ 705 ], - "attributes": { - } - }, - "$abc$19316$n1235": { - "hide_name": 1, - "bits": [ 746 ], - "attributes": { - } - }, - "$abc$19316$n1235_1": { - "hide_name": 1, - "bits": [ 1297 ], - "attributes": { - } - }, - "$abc$19316$n1237": { - "hide_name": 1, - "bits": [ 768 ], - "attributes": { - } - }, - "$abc$19316$n1238": { - "hide_name": 1, - "bits": [ 1302 ], - "attributes": { - } - }, - "$abc$19316$n1239": { - "hide_name": 1, - "bits": [ 809 ], - "attributes": { - } - }, - "$abc$19316$n1240": { - "hide_name": 1, - "bits": [ 1307 ], - "attributes": { - } - }, - "$abc$19316$n1241": { - "hide_name": 1, - "bits": [ 1306 ], - "attributes": { - } - }, - "$abc$19316$n1244": { - "hide_name": 1, - "bits": [ 1312 ], - "attributes": { - } - }, - "$abc$19316$n127": { - "hide_name": 1, - "bits": [ 119 ], - "attributes": { - } - }, - "$abc$19316$n1273": { - "hide_name": 1, - "bits": [ 288 ], - "attributes": { - } - }, - "$abc$19316$n1275": { - "hide_name": 1, - "bits": [ 350 ], - "attributes": { - } - }, - "$abc$19316$n1277": { - "hide_name": 1, - "bits": [ 354 ], - "attributes": { - } - }, - "$abc$19316$n1279": { - "hide_name": 1, - "bits": [ 393 ], - "attributes": { - } - }, - "$abc$19316$n1281": { - "hide_name": 1, - "bits": [ 452 ], - "attributes": { - } - }, - "$abc$19316$n1283": { - "hide_name": 1, - "bits": [ 456 ], - "attributes": { - } - }, - "$abc$19316$n1285": { - "hide_name": 1, - "bits": [ 495 ], - "attributes": { - } - }, - "$abc$19316$n1287": { - "hide_name": 1, - "bits": [ 554 ], - "attributes": { - } - }, - "$abc$19316$n1289": { - "hide_name": 1, - "bits": [ 558 ], - "attributes": { - } - }, - "$abc$19316$n1291": { - "hide_name": 1, - "bits": [ 597 ], - "attributes": { - } - }, - "$abc$19316$n1293": { - "hide_name": 1, - "bits": [ 656 ], - "attributes": { - } - }, - "$abc$19316$n1295": { - "hide_name": 1, - "bits": [ 680 ], - "attributes": { - } - }, - "$abc$19316$n1297": { - "hide_name": 1, - "bits": [ 704 ], - "attributes": { - } - }, - "$abc$19316$n1299": { - "hide_name": 1, - "bits": [ 708 ], - "attributes": { - } - }, - "$abc$19316$n1301": { - "hide_name": 1, - "bits": [ 767 ], - "attributes": { - } - }, - "$abc$19316$n1303": { - "hide_name": 1, - "bits": [ 771 ], - "attributes": { - } - }, - "$abc$19316$n1393": { - "hide_name": 1, - "bits": [ 843 ], - "attributes": { - } - }, - "$abc$19316$n1395": { - "hide_name": 1, - "bits": [ 867 ], - "attributes": { - } - }, - "$abc$19316$n1397": { - "hide_name": 1, - "bits": [ 889 ], - "attributes": { - } - }, - "$abc$19316$n1399": { - "hide_name": 1, - "bits": [ 911 ], - "attributes": { - } - }, - "$abc$19316$n1505": { - "hide_name": 1, - "bits": [ 930 ], - "attributes": { - } - }, - "$abc$19316$n1506": { - "hide_name": 1, - "bits": [ 931 ], - "attributes": { - } - }, - "$abc$19316$n1507": { - "hide_name": 1, - "bits": [ 932 ], - "attributes": { - } - }, - "$abc$19316$n1508": { - "hide_name": 1, - "bits": [ 933 ], - "attributes": { - } - }, - "$abc$19316$n1509": { - "hide_name": 1, - "bits": [ 934 ], - "attributes": { - } - }, - "$abc$19316$n1510": { - "hide_name": 1, - "bits": [ 935 ], - "attributes": { - } - }, - "$abc$19316$n1511": { - "hide_name": 1, - "bits": [ 936 ], - "attributes": { - } - }, - "$abc$19316$n1512": { - "hide_name": 1, - "bits": [ 937 ], - "attributes": { - } - }, - "$abc$19316$n1513": { - "hide_name": 1, - "bits": [ 938 ], - "attributes": { - } - }, - "$abc$19316$n1514": { - "hide_name": 1, - "bits": [ 939 ], - "attributes": { - } - }, - "$abc$19316$n1515": { - "hide_name": 1, - "bits": [ 940 ], - "attributes": { - } - }, - "$abc$19316$n1516": { - "hide_name": 1, - "bits": [ 941 ], - "attributes": { - } - }, - "$abc$19316$n1517": { - "hide_name": 1, - "bits": [ 942 ], - "attributes": { - } - }, - "$abc$19316$n1518": { - "hide_name": 1, - "bits": [ 943 ], - "attributes": { - } - }, - "$abc$19316$n1519": { - "hide_name": 1, - "bits": [ 944 ], - "attributes": { - } - }, - "$abc$19316$n1520": { - "hide_name": 1, - "bits": [ 945 ], - "attributes": { - } - }, - "$abc$19316$n1552": { - "hide_name": 1, - "bits": [ 958 ], - "attributes": { - } - }, - "$abc$19316$n1561": { - "hide_name": 1, - "bits": [ 1064 ], - "attributes": { - } - }, - "$abc$19316$n1563": { - "hide_name": 1, - "bits": [ 1068 ], - "attributes": { - } - }, - "$abc$19316$n1565": { - "hide_name": 1, - "bits": [ 1072 ], - "attributes": { - } - }, - "$abc$19316$n1567": { - "hide_name": 1, - "bits": [ 1061 ], - "attributes": { - } - }, - "$abc$19316$n1569": { - "hide_name": 1, - "bits": [ 1065 ], - "attributes": { - } - }, - "$abc$19316$n1571": { - "hide_name": 1, - "bits": [ 1069 ], - "attributes": { - } - }, - "$abc$19316$n1586": { - "hide_name": 1, - "bits": [ 1075 ], - "attributes": { - } - }, - "$abc$19316$n1613": { - "hide_name": 1, - "bits": [ 1078 ], - "attributes": { - } - }, - "$abc$19316$n1627": { - "hide_name": 1, - "bits": [ 1081 ], - "attributes": { - } - }, - "$abc$19316$n1629": { - "hide_name": 1, - "bits": [ 1082 ], - "attributes": { - } - }, - "$abc$19316$n1630": { - "hide_name": 1, - "bits": [ 1083 ], - "attributes": { - } - }, - "$abc$19316$n1631": { - "hide_name": 1, - "bits": [ 1084 ], - "attributes": { - } - }, - "$abc$19316$n1632": { - "hide_name": 1, - "bits": [ 1085 ], - "attributes": { - } - }, - "$abc$19316$n1633": { - "hide_name": 1, - "bits": [ 1086 ], - "attributes": { - } - }, - "$abc$19316$n1634": { - "hide_name": 1, - "bits": [ 1087 ], - "attributes": { - } - }, - "$abc$19316$n1635": { - "hide_name": 1, - "bits": [ 1088 ], - "attributes": { - } - }, - "$abc$19316$n1636": { - "hide_name": 1, - "bits": [ 1089 ], - "attributes": { - } - }, - "$abc$19316$n1637": { - "hide_name": 1, - "bits": [ 1090 ], - "attributes": { - } - }, - "$abc$19316$n1638": { - "hide_name": 1, - "bits": [ 1091 ], - "attributes": { - } - }, - "$abc$19316$n1639": { - "hide_name": 1, - "bits": [ 1092 ], - "attributes": { - } - }, - "$abc$19316$n1640": { - "hide_name": 1, - "bits": [ 1093 ], - "attributes": { - } - }, - "$abc$19316$n1641": { - "hide_name": 1, - "bits": [ 1094 ], - "attributes": { - } - }, - "$abc$19316$n1642": { - "hide_name": 1, - "bits": [ 1095 ], - "attributes": { - } - }, - "$abc$19316$n1643": { - "hide_name": 1, - "bits": [ 1096 ], - "attributes": { - } - }, - "$abc$19316$n1644": { - "hide_name": 1, - "bits": [ 1097 ], - "attributes": { - } - }, - "$abc$19316$n1745": { - "hide_name": 1, - "bits": [ 1099 ], - "attributes": { - } - }, - "$abc$19316$n1825": { - "hide_name": 1, - "bits": [ 1100 ], - "attributes": { - } - }, - "$abc$19316$n1826": { - "hide_name": 1, - "bits": [ 1101 ], - "attributes": { - } - }, - "$abc$19316$n1827": { - "hide_name": 1, - "bits": [ 1102 ], - "attributes": { - } - }, - "$abc$19316$n1828": { - "hide_name": 1, - "bits": [ 1103 ], - "attributes": { - } - }, - "$abc$19316$n1829": { - "hide_name": 1, - "bits": [ 1104 ], - "attributes": { - } - }, - "$abc$19316$n1830": { - "hide_name": 1, - "bits": [ 1105 ], - "attributes": { - } - }, - "$abc$19316$n1831": { - "hide_name": 1, - "bits": [ 1106 ], - "attributes": { - } - }, - "$abc$19316$n1832": { - "hide_name": 1, - "bits": [ 1107 ], - "attributes": { - } - }, - "$abc$19316$n1833": { - "hide_name": 1, - "bits": [ 1108 ], - "attributes": { - } - }, - "$abc$19316$n1834": { - "hide_name": 1, - "bits": [ 1109 ], - "attributes": { - } - }, - "$abc$19316$n1835": { - "hide_name": 1, - "bits": [ 1110 ], - "attributes": { - } - }, - "$abc$19316$n1836": { - "hide_name": 1, - "bits": [ 1111 ], - "attributes": { - } - }, - "$abc$19316$n1837": { - "hide_name": 1, - "bits": [ 1112 ], - "attributes": { - } - }, - "$abc$19316$n1838": { - "hide_name": 1, - "bits": [ 1113 ], - "attributes": { - } - }, - "$abc$19316$n1839": { - "hide_name": 1, - "bits": [ 1114 ], - "attributes": { - } - }, - "$abc$19316$n1840": { - "hide_name": 1, - "bits": [ 1115 ], - "attributes": { - } - }, - "$abc$19316$n1946": { - "hide_name": 1, - "bits": [ 1116 ], - "attributes": { - } - }, - "$abc$19316$n1947": { - "hide_name": 1, - "bits": [ 1117 ], - "attributes": { - } - }, - "$abc$19316$n1948": { - "hide_name": 1, - "bits": [ 1118 ], - "attributes": { - } - }, - "$abc$19316$n1949": { - "hide_name": 1, - "bits": [ 1119 ], - "attributes": { - } - }, - "$abc$19316$n1950": { - "hide_name": 1, - "bits": [ 1120 ], - "attributes": { - } - }, - "$abc$19316$n1951": { - "hide_name": 1, - "bits": [ 1121 ], - "attributes": { - } - }, - "$abc$19316$n1952": { - "hide_name": 1, - "bits": [ 1122 ], - "attributes": { - } - }, - "$abc$19316$n1953": { - "hide_name": 1, - "bits": [ 1123 ], - "attributes": { - } - }, - "$abc$19316$n1954": { - "hide_name": 1, - "bits": [ 1124 ], - "attributes": { - } - }, - "$abc$19316$n1955": { - "hide_name": 1, - "bits": [ 1125 ], - "attributes": { - } - }, - "$abc$19316$n1956": { - "hide_name": 1, - "bits": [ 1126 ], - "attributes": { - } - }, - "$abc$19316$n1957": { - "hide_name": 1, - "bits": [ 1127 ], - "attributes": { - } - }, - "$abc$19316$n1958": { - "hide_name": 1, - "bits": [ 1128 ], - "attributes": { - } - }, - "$abc$19316$n1959": { - "hide_name": 1, - "bits": [ 1129 ], - "attributes": { - } - }, - "$abc$19316$n1960": { - "hide_name": 1, - "bits": [ 1130 ], - "attributes": { - } - }, - "$abc$19316$n1961": { - "hide_name": 1, - "bits": [ 1131 ], - "attributes": { - } - }, - "$abc$19316$n1963": { - "hide_name": 1, - "bits": [ 1132 ], - "attributes": { - } - }, - "$abc$19316$n1964": { - "hide_name": 1, - "bits": [ 1133 ], - "attributes": { - } - }, - "$abc$19316$n1965": { - "hide_name": 1, - "bits": [ 1134 ], - "attributes": { - } - }, - "$abc$19316$n1966": { - "hide_name": 1, - "bits": [ 1135 ], - "attributes": { - } - }, - "$abc$19316$n1967": { - "hide_name": 1, - "bits": [ 1136 ], - "attributes": { - } - }, - "$abc$19316$n1968": { - "hide_name": 1, - "bits": [ 1137 ], - "attributes": { - } - }, - "$abc$19316$n1969": { - "hide_name": 1, - "bits": [ 1138 ], - "attributes": { - } - }, - "$abc$19316$n1970": { - "hide_name": 1, - "bits": [ 1139 ], - "attributes": { - } - }, - "$abc$19316$n1971": { - "hide_name": 1, - "bits": [ 1140 ], - "attributes": { - } - }, - "$abc$19316$n1972": { - "hide_name": 1, - "bits": [ 1141 ], - "attributes": { - } - }, - "$abc$19316$n1973": { - "hide_name": 1, - "bits": [ 1142 ], - "attributes": { - } - }, - "$abc$19316$n1974": { - "hide_name": 1, - "bits": [ 1143 ], - "attributes": { - } - }, - "$abc$19316$n1975": { - "hide_name": 1, - "bits": [ 1144 ], - "attributes": { - } - }, - "$abc$19316$n1976": { - "hide_name": 1, - "bits": [ 1145 ], - "attributes": { - } - }, - "$abc$19316$n1977": { - "hide_name": 1, - "bits": [ 1146 ], - "attributes": { - } - }, - "$abc$19316$n1978": { - "hide_name": 1, - "bits": [ 1147 ], - "attributes": { - } - }, - "$abc$19316$n1980": { - "hide_name": 1, - "bits": [ 1148 ], - "attributes": { - } - }, - "$abc$19316$n1981": { - "hide_name": 1, - "bits": [ 1149 ], - "attributes": { - } - }, - "$abc$19316$n1982": { - "hide_name": 1, - "bits": [ 1150 ], - "attributes": { - } - }, - "$abc$19316$n1983": { - "hide_name": 1, - "bits": [ 1151 ], - "attributes": { - } - }, - "$abc$19316$n1984": { - "hide_name": 1, - "bits": [ 1152 ], - "attributes": { - } - }, - "$abc$19316$n1985": { - "hide_name": 1, - "bits": [ 1153 ], - "attributes": { - } - }, - "$abc$19316$n1986": { - "hide_name": 1, - "bits": [ 1154 ], - "attributes": { - } - }, - "$abc$19316$n1987": { - "hide_name": 1, - "bits": [ 1155 ], - "attributes": { - } - }, - "$abc$19316$n1988": { - "hide_name": 1, - "bits": [ 1156 ], - "attributes": { - } - }, - "$abc$19316$n1989": { - "hide_name": 1, - "bits": [ 1157 ], - "attributes": { - } - }, - "$abc$19316$n1990": { - "hide_name": 1, - "bits": [ 1158 ], - "attributes": { - } - }, - "$abc$19316$n1991": { - "hide_name": 1, - "bits": [ 1159 ], - "attributes": { - } - }, - "$abc$19316$n1992": { - "hide_name": 1, - "bits": [ 1160 ], - "attributes": { - } - }, - "$abc$19316$n1993": { - "hide_name": 1, - "bits": [ 1161 ], - "attributes": { - } - }, - "$abc$19316$n1994": { - "hide_name": 1, - "bits": [ 1162 ], - "attributes": { - } - }, - "$abc$19316$n1995": { - "hide_name": 1, - "bits": [ 1163 ], - "attributes": { - } - }, - "$abc$19316$n1996": { - "hide_name": 1, - "bits": [ 1164 ], - "attributes": { - } - }, - "$abc$19316$n1997": { - "hide_name": 1, - "bits": [ 1165 ], - "attributes": { - } - }, - "$abc$19316$n1998": { - "hide_name": 1, - "bits": [ 1166 ], - "attributes": { - } - }, - "$abc$19316$n1999": { - "hide_name": 1, - "bits": [ 1167 ], - "attributes": { - } - }, - "$abc$19316$n2000": { - "hide_name": 1, - "bits": [ 1168 ], - "attributes": { - } - }, - "$abc$19316$n2001": { - "hide_name": 1, - "bits": [ 1169 ], - "attributes": { - } - }, - "$abc$19316$n2002": { - "hide_name": 1, - "bits": [ 1170 ], - "attributes": { - } - }, - "$abc$19316$n2003": { - "hide_name": 1, - "bits": [ 1171 ], - "attributes": { - } - }, - "$abc$19316$n2004": { - "hide_name": 1, - "bits": [ 1172 ], - "attributes": { - } - }, - "$abc$19316$n2005": { - "hide_name": 1, - "bits": [ 1173 ], - "attributes": { - } - }, - "$abc$19316$n2006": { - "hide_name": 1, - "bits": [ 1174 ], - "attributes": { - } - }, - "$abc$19316$n2007": { - "hide_name": 1, - "bits": [ 1175 ], - "attributes": { - } - }, - "$abc$19316$n2008": { - "hide_name": 1, - "bits": [ 1176 ], - "attributes": { - } - }, - "$abc$19316$n2009": { - "hide_name": 1, - "bits": [ 1177 ], - "attributes": { - } - }, - "$abc$19316$n2010": { - "hide_name": 1, - "bits": [ 1178 ], - "attributes": { - } - }, - "$abc$19316$n2011": { - "hide_name": 1, - "bits": [ 1179 ], - "attributes": { - } - }, - "$abc$19316$n2049": { - "hide_name": 1, - "bits": [ 170 ], - "attributes": { - } - }, - "$abc$19316$n2082": { - "hide_name": 1, - "bits": [ 1187 ], - "attributes": { - } - }, - "$abc$19316$n2085": { - "hide_name": 1, - "bits": [ 1194 ], - "attributes": { - } - }, - "$abc$19316$n2087": { - "hide_name": 1, - "bits": [ 1201 ], - "attributes": { - } - }, - "$abc$19316$n2089": { - "hide_name": 1, - "bits": [ 1208 ], - "attributes": { - } - }, - "$abc$19316$n2241": { - "hide_name": 1, - "bits": [ 810 ], - "attributes": { - } - }, - "$abc$19316$n2284": { - "hide_name": 1, - "bits": [ 1211 ], - "attributes": { - } - }, - "$abc$19316$n2287": { - "hide_name": 1, - "bits": [ 163 ], - "attributes": { - } - }, - "$abc$19316$n2304": { - "hide_name": 1, - "bits": [ 1212 ], - "attributes": { - } - }, - "$abc$19316$n2331": { - "hide_name": 1, - "bits": [ 1213 ], - "attributes": { - } - }, - "$abc$19316$n2583": { - "hide_name": 1, - "bits": [ 1215 ], - "attributes": { - } - }, - "$abc$19316$n2586": { - "hide_name": 1, - "bits": [ 1218 ], - "attributes": { - } - }, - "$abc$19316$n2604": { - "hide_name": 1, - "bits": [ 1231 ], - "attributes": { - } - }, - "$abc$19316$n2642": { - "hide_name": 1, - "bits": [ 1233 ], - "attributes": { - } - }, - "$abc$19316$n2670": { - "hide_name": 1, - "bits": [ 1234 ], - "attributes": { - } - }, - "$abc$19316$n2710": { - "hide_name": 1, - "bits": [ 1235 ], - "attributes": { - } - }, - "$abc$19316$n2739": { - "hide_name": 1, - "bits": [ 1236 ], - "attributes": { - } - }, - "$abc$19316$n2750": { - "hide_name": 1, - "bits": [ 1237 ], - "attributes": { - } - }, - "$abc$19316$n2757": { - "hide_name": 1, - "bits": [ 168 ], - "attributes": { - } - }, - "$abc$19316$n2955": { - "hide_name": 1, - "bits": [ 1242 ], - "attributes": { - } - }, - "$abc$19316$n3105": { - "hide_name": 1, - "bits": [ 1245 ], - "attributes": { - } - }, - "$abc$19316$n3112": { - "hide_name": 1, - "bits": [ 1252 ], - "attributes": { - } - }, - "$abc$19316$n3125": { - "hide_name": 1, - "bits": [ 1279 ], - "attributes": { - } - }, - "$abc$19316$n315": { - "hide_name": 1, - "bits": [ 1185 ], - "attributes": { - } - }, - "$abc$19316$n3156": { - "hide_name": 1, - "bits": [ 1282 ], - "attributes": { - } - }, - "$abc$19316$n3159": { - "hide_name": 1, - "bits": [ 1285 ], - "attributes": { - } - }, - "$abc$19316$n316": { - "hide_name": 1, - "bits": [ 1181 ], - "attributes": { - } - }, - "$abc$19316$n318": { - "hide_name": 1, - "bits": [ 1186 ], - "attributes": { - } - }, - "$abc$19316$n3181": { - "hide_name": 1, - "bits": [ 1286 ], - "attributes": { - } - }, - "$abc$19316$n3207": { - "hide_name": 1, - "bits": [ 1287 ], - "attributes": { - } - }, - "$abc$19316$n3244": { - "hide_name": 1, - "bits": [ 1288 ], - "attributes": { - } - }, - "$abc$19316$n3473": { - "hide_name": 1, - "bits": [ 1290 ], - "attributes": { - } - }, - "$abc$19316$n3511": { - "hide_name": 1, - "bits": [ 1291 ], - "attributes": { - } - }, - "$abc$19316$n3531": { - "hide_name": 1, - "bits": [ 1294 ], - "attributes": { - } - }, - "$abc$19316$n3542": { - "hide_name": 1, - "bits": [ 1295 ], - "attributes": { - } - }, - "$abc$19316$n3561": { - "hide_name": 1, - "bits": [ 1296 ], - "attributes": { - } - }, - "$abc$19316$n3567": { - "hide_name": 1, - "bits": [ 1300 ], - "attributes": { - } - }, - "$abc$19316$n3582": { - "hide_name": 1, - "bits": [ 1301 ], - "attributes": { - } - }, - "$abc$19316$n3634": { - "hide_name": 1, - "bits": [ 1305 ], - "attributes": { - } - }, - "$abc$19316$n3649": { - "hide_name": 1, - "bits": [ 1308 ], - "attributes": { - } - }, - "$abc$19316$n3654": { - "hide_name": 1, - "bits": [ 1311 ], - "attributes": { - } - }, - "$abc$19316$n3659": { - "hide_name": 1, - "bits": [ 1313 ], - "attributes": { - } - }, - "$abc$19316$n3676": { - "hide_name": 1, - "bits": [ 1316 ], - "attributes": { - } - }, - "$abc$19316$n3682": { - "hide_name": 1, - "bits": [ 1317 ], - "attributes": { - } - }, - "$abc$19316$n3683": { - "hide_name": 1, - "bits": [ 1210 ], - "attributes": { - } - }, - "$abc$19316$n3702": { - "hide_name": 1, - "bits": [ 1189 ], - "attributes": { - } - }, - "$abc$19316$n3703": { - "hide_name": 1, - "bits": [ 1180 ], - "attributes": { - } - }, - "$abc$19316$n3704": { - "hide_name": 1, - "bits": [ 1188 ], - "attributes": { - } - }, - "$abc$19316$n3705": { - "hide_name": 1, - "bits": [ 1196 ], - "attributes": { - } - }, - "$abc$19316$n3706": { - "hide_name": 1, - "bits": [ 1190 ], - "attributes": { - } - }, - "$abc$19316$n3707": { - "hide_name": 1, - "bits": [ 1195 ], - "attributes": { - } - }, - "$abc$19316$n3709": { - "hide_name": 1, - "bits": [ 1203 ], - "attributes": { - } - }, - "$abc$19316$n3710": { - "hide_name": 1, - "bits": [ 1197 ], - "attributes": { - } - }, - "$abc$19316$n3711": { - "hide_name": 1, - "bits": [ 1202 ], - "attributes": { - } - }, - "$abc$19316$n3712": { - "hide_name": 1, - "bits": [ 1204 ], - "attributes": { - } - }, - "$abc$19316$n3713": { - "hide_name": 1, - "bits": [ 1209 ], - "attributes": { - } - }, - "$abc$19316$n586": { - "hide_name": 1, - "bits": [ 178 ], - "attributes": { - } - }, - "$abc$19316$n618": { - "hide_name": 1, - "bits": [ 185 ], - "attributes": { - } - }, - "$abc$19316$n67": { - "hide_name": 1, - "bits": [ 24 ], - "attributes": { - } - }, - "$abc$19316$n687": { - "hide_name": 1, - "bits": [ 18 ], - "attributes": { - } - }, - "$abc$19316$n688": { - "hide_name": 1, - "bits": [ 31 ], - "attributes": { - } - }, - "$abc$19316$n689": { - "hide_name": 1, - "bits": [ 32 ], - "attributes": { - } - }, - "$abc$19316$n690": { - "hide_name": 1, - "bits": [ 27 ], - "attributes": { - } - }, - "$abc$19316$n691": { - "hide_name": 1, - "bits": [ 39 ], - "attributes": { - } - }, - "$abc$19316$n692": { - "hide_name": 1, - "bits": [ 38 ], - "attributes": { - } - }, - "$abc$19316$n693": { - "hide_name": 1, - "bits": [ 25 ], - "attributes": { - } - }, - "$abc$19316$n694": { - "hide_name": 1, - "bits": [ 48 ], - "attributes": { - } - }, - "$abc$19316$n695": { - "hide_name": 1, - "bits": [ 45 ], - "attributes": { - } - }, - "$abc$19316$n696": { - "hide_name": 1, - "bits": [ 47 ], - "attributes": { - } - }, - "$abc$19316$n697": { - "hide_name": 1, - "bits": [ 26 ], - "attributes": { - } - }, - "$abc$19316$n698": { - "hide_name": 1, - "bits": [ 56 ], - "attributes": { - } - }, - "$abc$19316$n699": { - "hide_name": 1, - "bits": [ 57 ], - "attributes": { - } - }, - "$abc$19316$n700": { - "hide_name": 1, - "bits": [ 28 ], - "attributes": { - } - }, - "$abc$19316$n701": { - "hide_name": 1, - "bits": [ 61 ], - "attributes": { - } - }, - "$abc$19316$n702": { - "hide_name": 1, - "bits": [ 59 ], - "attributes": { - } - }, - "$abc$19316$n703": { - "hide_name": 1, - "bits": [ 60 ], - "attributes": { - } - }, - "$abc$19316$n704": { - "hide_name": 1, - "bits": [ 62 ], - "attributes": { - } - }, - "$abc$19316$n705": { - "hide_name": 1, - "bits": [ 19 ], - "attributes": { - } - }, - "$abc$19316$n706": { - "hide_name": 1, - "bits": [ 78 ], - "attributes": { - } - }, - "$abc$19316$n707": { - "hide_name": 1, - "bits": [ 82 ], - "attributes": { - } - }, - "$abc$19316$n708": { - "hide_name": 1, - "bits": [ 79 ], - "attributes": { - } - }, - "$abc$19316$n709": { - "hide_name": 1, - "bits": [ 80 ], - "attributes": { - } - }, - "$abc$19316$n710": { - "hide_name": 1, - "bits": [ 81 ], - "attributes": { - } - }, - "$abc$19316$n711": { - "hide_name": 1, - "bits": [ 20 ], - "attributes": { - } - }, - "$abc$19316$n712": { - "hide_name": 1, - "bits": [ 100 ], - "attributes": { - } - }, - "$abc$19316$n713": { - "hide_name": 1, - "bits": [ 23 ], - "attributes": { - } - }, - "$abc$19316$n714": { - "hide_name": 1, - "bits": [ 101 ], - "attributes": { - } - }, - "$abc$19316$n715": { - "hide_name": 1, - "bits": [ 106 ], - "attributes": { - } - }, - "$abc$19316$n716": { - "hide_name": 1, - "bits": [ 102 ], - "attributes": { - } - }, - "$abc$19316$n717": { - "hide_name": 1, - "bits": [ 105 ], - "attributes": { - } - }, - "$abc$19316$n719": { - "hide_name": 1, - "bits": [ 116 ], - "attributes": { - } - }, - "$abc$19316$n720": { - "hide_name": 1, - "bits": [ 120 ], - "attributes": { - } - }, - "$abc$19316$n721": { - "hide_name": 1, - "bits": [ 125 ], - "attributes": { - } - }, - "$abc$19316$n722": { - "hide_name": 1, - "bits": [ 126 ], - "attributes": { - } - }, - "$abc$19316$n723": { - "hide_name": 1, - "bits": [ 127 ], - "attributes": { - } - }, - "$abc$19316$n724": { - "hide_name": 1, - "bits": [ 130 ], - "attributes": { - } - }, - "$abc$19316$n725": { - "hide_name": 1, - "bits": [ 121 ], - "attributes": { - } - }, - "$abc$19316$n726": { - "hide_name": 1, - "bits": [ 138 ], - "attributes": { - } - }, - "$abc$19316$n727": { - "hide_name": 1, - "bits": [ 137 ], - "attributes": { - } - }, - "$abc$19316$n728": { - "hide_name": 1, - "bits": [ 122 ], - "attributes": { - } - }, - "$abc$19316$n729": { - "hide_name": 1, - "bits": [ 117 ], - "attributes": { - } - }, - "$abc$19316$n730": { - "hide_name": 1, - "bits": [ 148 ], - "attributes": { - } - }, - "$abc$19316$n731": { - "hide_name": 1, - "bits": [ 156 ], - "attributes": { - } - }, - "$abc$19316$n732": { - "hide_name": 1, - "bits": [ 149 ], - "attributes": { - } - }, - "$abc$19316$n733": { - "hide_name": 1, - "bits": [ 118 ], - "attributes": { - } - }, - "$abc$19316$n734": { - "hide_name": 1, - "bits": [ 157 ], - "attributes": { - } - }, - "$abc$19316$n735": { - "hide_name": 1, - "bits": [ 160 ], - "attributes": { - } - }, - "$abc$19316$n736": { - "hide_name": 1, - "bits": [ 158 ], - "attributes": { - } - }, - "$abc$19316$n737": { - "hide_name": 1, - "bits": [ 159 ], - "attributes": { - } - }, - "$abc$19316$n739": { - "hide_name": 1, - "bits": [ 161 ], - "attributes": { - } - }, - "$abc$19316$n740": { - "hide_name": 1, - "bits": [ 162 ], - "attributes": { - } - }, - "$abc$19316$n742": { - "hide_name": 1, - "bits": [ 167 ], - "attributes": { - } - }, - "$abc$19316$n744": { - "hide_name": 1, - "bits": [ 169 ], - "attributes": { - } - }, - "$abc$19316$n746": { - "hide_name": 1, - "bits": [ 177 ], - "attributes": { - } - }, - "$abc$19316$n754": { - "hide_name": 1, - "bits": [ 194 ], - "attributes": { - } - }, - "$abc$19316$n755": { - "hide_name": 1, - "bits": [ 198 ], - "attributes": { - } - }, - "$abc$19316$n756": { - "hide_name": 1, - "bits": [ 193 ], - "attributes": { - } - }, - "$abc$19316$n757": { - "hide_name": 1, - "bits": [ 199 ], - "attributes": { - } - }, - "$abc$19316$n758": { - "hide_name": 1, - "bits": [ 192 ], - "attributes": { - } - }, - "$abc$19316$n760": { - "hide_name": 1, - "bits": [ 200 ], - "attributes": { - } - }, - "$abc$19316$n762": { - "hide_name": 1, - "bits": [ 204 ], - "attributes": { - } - }, - "$abc$19316$n764": { - "hide_name": 1, - "bits": [ 208 ], - "attributes": { - } - }, - "$abc$19316$n766": { - "hide_name": 1, - "bits": [ 213 ], - "attributes": { - } - }, - "$abc$19316$n767": { - "hide_name": 1, - "bits": [ 217 ], - "attributes": { - } - }, - "$abc$19316$n768": { - "hide_name": 1, - "bits": [ 212 ], - "attributes": { - } - }, - "$abc$19316$n770": { - "hide_name": 1, - "bits": [ 218 ], - "attributes": { - } - }, - "$abc$19316$n772": { - "hide_name": 1, - "bits": [ 222 ], - "attributes": { - } - }, - "$abc$19316$n774": { - "hide_name": 1, - "bits": [ 226 ], - "attributes": { - } - }, - "$abc$19316$n776": { - "hide_name": 1, - "bits": [ 231 ], - "attributes": { - } - }, - "$abc$19316$n777": { - "hide_name": 1, - "bits": [ 235 ], - "attributes": { - } - }, - "$abc$19316$n778": { - "hide_name": 1, - "bits": [ 230 ], - "attributes": { - } - }, - "$abc$19316$n780": { - "hide_name": 1, - "bits": [ 236 ], - "attributes": { - } - }, - "$abc$19316$n782": { - "hide_name": 1, - "bits": [ 241 ], - "attributes": { - } - }, - "$abc$19316$n783": { - "hide_name": 1, - "bits": [ 245 ], - "attributes": { - } - }, - "$abc$19316$n784": { - "hide_name": 1, - "bits": [ 240 ], - "attributes": { - } - }, - "$abc$19316$n786": { - "hide_name": 1, - "bits": [ 246 ], - "attributes": { - } - }, - "$abc$19316$n788": { - "hide_name": 1, - "bits": [ 250 ], - "attributes": { - } - }, - "$abc$19316$n790": { - "hide_name": 1, - "bits": [ 254 ], - "attributes": { - } - }, - "$abc$19316$n792": { - "hide_name": 1, - "bits": [ 258 ], - "attributes": { - } - }, - "$abc$19316$n794": { - "hide_name": 1, - "bits": [ 262 ], - "attributes": { - } - }, - "$abc$19316$n812": { - "hide_name": 1, - "bits": [ 283 ], - "attributes": { - } - }, - "$abc$19316$n813": { - "hide_name": 1, - "bits": [ 285 ], - "attributes": { - } - }, - "$abc$19316$n814": { - "hide_name": 1, - "bits": [ 282 ], - "attributes": { - } - }, - "$abc$19316$n816": { - "hide_name": 1, - "bits": [ 291 ], - "attributes": { - } - }, - "$abc$19316$n817": { - "hide_name": 1, - "bits": [ 293 ], - "attributes": { - } - }, - "$abc$19316$n818": { - "hide_name": 1, - "bits": [ 312 ], - "attributes": { - } - }, - "$abc$19316$n819": { - "hide_name": 1, - "bits": [ 294 ], - "attributes": { - } - }, - "$abc$19316$n820": { - "hide_name": 1, - "bits": [ 316 ], - "attributes": { - } - }, - "$abc$19316$n821": { - "hide_name": 1, - "bits": [ 314 ], - "attributes": { - } - }, - "$abc$19316$n822": { - "hide_name": 1, - "bits": [ 295 ], - "attributes": { - } - }, - "$abc$19316$n823": { - "hide_name": 1, - "bits": [ 296 ], - "attributes": { - } - }, - "$abc$19316$n824": { - "hide_name": 1, - "bits": [ 321 ], - "attributes": { - } - }, - "$abc$19316$n825": { - "hide_name": 1, - "bits": [ 325 ], - "attributes": { - } - }, - "$abc$19316$n826": { - "hide_name": 1, - "bits": [ 305 ], - "attributes": { - } - }, - "$abc$19316$n827": { - "hide_name": 1, - "bits": [ 287 ], - "attributes": { - } - }, - "$abc$19316$n828": { - "hide_name": 1, - "bits": [ 328 ], - "attributes": { - } - }, - "$abc$19316$n829": { - "hide_name": 1, - "bits": [ 286 ], - "attributes": { - } - }, - "$abc$19316$n831": { - "hide_name": 1, - "bits": [ 330 ], - "attributes": { - } - }, - "$abc$19316$n832": { - "hide_name": 1, - "bits": [ 337 ], - "attributes": { - } - }, - "$abc$19316$n833": { - "hide_name": 1, - "bits": [ 329 ], - "attributes": { - } - }, - "$abc$19316$n834": { - "hide_name": 1, - "bits": [ 342 ], - "attributes": { - } - }, - "$abc$19316$n835": { - "hide_name": 1, - "bits": [ 345 ], - "attributes": { - } - }, - "$abc$19316$n836": { - "hide_name": 1, - "bits": [ 341 ], - "attributes": { - } - }, - "$abc$19316$n837": { - "hide_name": 1, - "bits": [ 334 ], - "attributes": { - } - }, - "$abc$19316$n838": { - "hide_name": 1, - "bits": [ 331 ], - "attributes": { - } - }, - "$abc$19316$n840": { - "hide_name": 1, - "bits": [ 357 ], - "attributes": { - } - }, - "$abc$19316$n841": { - "hide_name": 1, - "bits": [ 359 ], - "attributes": { - } - }, - "$abc$19316$n842": { - "hide_name": 1, - "bits": [ 360 ], - "attributes": { - } - }, - "$abc$19316$n843": { - "hide_name": 1, - "bits": [ 361 ], - "attributes": { - } - }, - "$abc$19316$n844": { - "hide_name": 1, - "bits": [ 384 ], - "attributes": { - } - }, - "$abc$19316$n845": { - "hide_name": 1, - "bits": [ 362 ], - "attributes": { - } - }, - "$abc$19316$n846": { - "hide_name": 1, - "bits": [ 390 ], - "attributes": { - } - }, - "$abc$19316$n847": { - "hide_name": 1, - "bits": [ 371 ], - "attributes": { - } - }, - "$abc$19316$n849": { - "hide_name": 1, - "bits": [ 396 ], - "attributes": { - } - }, - "$abc$19316$n850": { - "hide_name": 1, - "bits": [ 398 ], - "attributes": { - } - }, - "$abc$19316$n851": { - "hide_name": 1, - "bits": [ 399 ], - "attributes": { - } - }, - "$abc$19316$n852": { - "hide_name": 1, - "bits": [ 400 ], - "attributes": { - } - }, - "$abc$19316$n853": { - "hide_name": 1, - "bits": [ 423 ], - "attributes": { - } - }, - "$abc$19316$n854": { - "hide_name": 1, - "bits": [ 401 ], - "attributes": { - } - }, - "$abc$19316$n855": { - "hide_name": 1, - "bits": [ 429 ], - "attributes": { - } - }, - "$abc$19316$n856": { - "hide_name": 1, - "bits": [ 410 ], - "attributes": { - } - }, - "$abc$19316$n858": { - "hide_name": 1, - "bits": [ 433 ], - "attributes": { - } - }, - "$abc$19316$n859": { - "hide_name": 1, - "bits": [ 440 ], - "attributes": { - } - }, - "$abc$19316$n860": { - "hide_name": 1, - "bits": [ 432 ], - "attributes": { - } - }, - "$abc$19316$n861": { - "hide_name": 1, - "bits": [ 444 ], - "attributes": { - } - }, - "$abc$19316$n862": { - "hide_name": 1, - "bits": [ 447 ], - "attributes": { - } - }, - "$abc$19316$n863": { - "hide_name": 1, - "bits": [ 437 ], - "attributes": { - } - }, - "$abc$19316$n864": { - "hide_name": 1, - "bits": [ 434 ], - "attributes": { - } - }, - "$abc$19316$n866": { - "hide_name": 1, - "bits": [ 459 ], - "attributes": { - } - }, - "$abc$19316$n867": { - "hide_name": 1, - "bits": [ 461 ], - "attributes": { - } - }, - "$abc$19316$n868": { - "hide_name": 1, - "bits": [ 462 ], - "attributes": { - } - }, - "$abc$19316$n869": { - "hide_name": 1, - "bits": [ 463 ], - "attributes": { - } - }, - "$abc$19316$n870": { - "hide_name": 1, - "bits": [ 486 ], - "attributes": { - } - }, - "$abc$19316$n871": { - "hide_name": 1, - "bits": [ 464 ], - "attributes": { - } - }, - "$abc$19316$n872": { - "hide_name": 1, - "bits": [ 492 ], - "attributes": { - } - }, - "$abc$19316$n873": { - "hide_name": 1, - "bits": [ 473 ], - "attributes": { - } - }, - "$abc$19316$n875": { - "hide_name": 1, - "bits": [ 498 ], - "attributes": { - } - }, - "$abc$19316$n876": { - "hide_name": 1, - "bits": [ 500 ], - "attributes": { - } - }, - "$abc$19316$n877": { - "hide_name": 1, - "bits": [ 501 ], - "attributes": { - } - }, - "$abc$19316$n878": { - "hide_name": 1, - "bits": [ 502 ], - "attributes": { - } - }, - "$abc$19316$n879": { - "hide_name": 1, - "bits": [ 525 ], - "attributes": { - } - }, - "$abc$19316$n880": { - "hide_name": 1, - "bits": [ 503 ], - "attributes": { - } - }, - "$abc$19316$n881": { + "$abc$20640$n1033": { "hide_name": 1, "bits": [ 531 ], "attributes": { } }, - "$abc$19316$n882": { + "$abc$20640$n1034": { + "hide_name": 1, + "bits": [ 511 ], + "attributes": { + } + }, + "$abc$20640$n1035": { "hide_name": 1, "bits": [ 512 ], "attributes": { } }, - "$abc$19316$n884": { + "$abc$20640$n1036": { "hide_name": 1, - "bits": [ 535 ], + "bits": [ 513 ], "attributes": { } }, - "$abc$19316$n885": { - "hide_name": 1, - "bits": [ 542 ], - "attributes": { - } - }, - "$abc$19316$n886": { - "hide_name": 1, - "bits": [ 534 ], - "attributes": { - } - }, - "$abc$19316$n887": { - "hide_name": 1, - "bits": [ 186 ], - "attributes": { - } - }, - "$abc$19316$n887_1": { - "hide_name": 1, - "bits": [ 546 ], - "attributes": { - } - }, - "$abc$19316$n888": { - "hide_name": 1, - "bits": [ 549 ], - "attributes": { - } - }, - "$abc$19316$n889": { - "hide_name": 1, - "bits": [ 539 ], - "attributes": { - } - }, - "$abc$19316$n890": { + "$abc$20640$n1037": { "hide_name": 1, "bits": [ 536 ], "attributes": { } }, - "$abc$19316$n892": { + "$abc$20640$n1038": { "hide_name": 1, - "bits": [ 561 ], + "bits": [ 535 ], "attributes": { } }, - "$abc$19316$n893": { + "$abc$20640$n1039": { + "hide_name": 1, + "bits": [ 514 ], + "attributes": { + } + }, + "$abc$20640$n1040": { + "hide_name": 1, + "bits": [ 543 ], + "attributes": { + } + }, + "$abc$20640$n1041": { + "hide_name": 1, + "bits": [ 523 ], + "attributes": { + } + }, + "$abc$20640$n1042": { + "hide_name": 1, + "bits": [ 506 ], + "attributes": { + } + }, + "$abc$20640$n1044": { + "hide_name": 1, + "bits": [ 549 ], + "attributes": { + } + }, + "$abc$20640$n1045": { + "hide_name": 1, + "bits": [ 551 ], + "attributes": { + } + }, + "$abc$20640$n1046": { + "hide_name": 1, + "bits": [ 552 ], + "attributes": { + } + }, + "$abc$20640$n1047": { + "hide_name": 1, + "bits": [ 553 ], + "attributes": { + } + }, + "$abc$20640$n1048": { + "hide_name": 1, + "bits": [ 574 ], + "attributes": { + } + }, + "$abc$20640$n1049": { + "hide_name": 1, + "bits": [ 573 ], + "attributes": { + } + }, + "$abc$20640$n1050": { + "hide_name": 1, + "bits": [ 554 ], + "attributes": { + } + }, + "$abc$20640$n1051": { + "hide_name": 1, + "bits": [ 581 ], + "attributes": { + } + }, + "$abc$20640$n1052": { "hide_name": 1, "bits": [ 563 ], "attributes": { } }, - "$abc$19316$n894": { + "$abc$20640$n1054": { "hide_name": 1, - "bits": [ 564 ], + "bits": [ 587 ], "attributes": { } }, - "$abc$19316$n895": { + "$abc$20640$n1055": { "hide_name": 1, - "bits": [ 187 ], + "bits": [ 589 ], "attributes": { } }, - "$abc$19316$n895_1": { + "$abc$20640$n1056": { "hide_name": 1, - "bits": [ 565 ], + "bits": [ 590 ], "attributes": { } }, - "$abc$19316$n896": { + "$abc$20640$n1057": { "hide_name": 1, - "bits": [ 588 ], + "bits": [ 591 ], "attributes": { } }, - "$abc$19316$n897": { + "$abc$20640$n1058": { "hide_name": 1, - "bits": [ 566 ], + "bits": [ 612 ], "attributes": { } }, - "$abc$19316$n898": { + "$abc$20640$n1059": { "hide_name": 1, - "bits": [ 594 ], + "bits": [ 611 ], "attributes": { } }, - "$abc$19316$n899": { + "$abc$20640$n1060": { "hide_name": 1, - "bits": [ 575 ], + "bits": [ 592 ], "attributes": { } }, - "$abc$19316$n901": { + "$abc$20640$n1061_1": { "hide_name": 1, - "bits": [ 600 ], + "bits": [ 619 ], "attributes": { } }, - "$abc$19316$n902": { + "$abc$20640$n1062": { "hide_name": 1, - "bits": [ 602 ], + "bits": [ 601 ], "attributes": { } }, - "$abc$19316$n903": { + "$abc$20640$n1064": { "hide_name": 1, - "bits": [ 603 ], + "bits": [ 625 ], "attributes": { } }, - "$abc$19316$n904": { - "hide_name": 1, - "bits": [ 604 ], - "attributes": { - } - }, - "$abc$19316$n905": { + "$abc$20640$n1065_1": { "hide_name": 1, "bits": [ 627 ], "attributes": { } }, - "$abc$19316$n906": { + "$abc$20640$n1066": { "hide_name": 1, - "bits": [ 605 ], + "bits": [ 628 ], "attributes": { } }, - "$abc$19316$n907": { + "$abc$20640$n1067_1": { "hide_name": 1, - "bits": [ 633 ], + "bits": [ 629 ], "attributes": { } }, - "$abc$19316$n908": { + "$abc$20640$n1068": { "hide_name": 1, - "bits": [ 614 ], + "bits": [ 650 ], "attributes": { } }, - "$abc$19316$n910": { + "$abc$20640$n1069_1": { "hide_name": 1, - "bits": [ 637 ], + "bits": [ 649 ], "attributes": { } }, - "$abc$19316$n911": { + "$abc$20640$n1070": { "hide_name": 1, - "bits": [ 644 ], + "bits": [ 630 ], "attributes": { } }, - "$abc$19316$n912": { + "$abc$20640$n1071_1": { "hide_name": 1, - "bits": [ 636 ], + "bits": [ 657 ], "attributes": { } }, - "$abc$19316$n913": { + "$abc$20640$n1072": { "hide_name": 1, - "bits": [ 648 ], + "bits": [ 639 ], "attributes": { } }, - "$abc$19316$n914": { + "$abc$20640$n1074": { "hide_name": 1, - "bits": [ 651 ], + "bits": [ 663 ], "attributes": { } }, - "$abc$19316$n915": { - "hide_name": 1, - "bits": [ 641 ], - "attributes": { - } - }, - "$abc$19316$n916": { - "hide_name": 1, - "bits": [ 638 ], - "attributes": { - } - }, - "$abc$19316$n918": { - "hide_name": 1, - "bits": [ 661 ], - "attributes": { - } - }, - "$abc$19316$n919": { - "hide_name": 1, - "bits": [ 668 ], - "attributes": { - } - }, - "$abc$19316$n920": { - "hide_name": 1, - "bits": [ 660 ], - "attributes": { - } - }, - "$abc$19316$n921": { - "hide_name": 1, - "bits": [ 672 ], - "attributes": { - } - }, - "$abc$19316$n922": { - "hide_name": 1, - "bits": [ 675 ], - "attributes": { - } - }, - "$abc$19316$n923": { + "$abc$20640$n1075_1": { "hide_name": 1, "bits": [ 665 ], "attributes": { } }, - "$abc$19316$n924": { + "$abc$20640$n1076": { "hide_name": 1, - "bits": [ 662 ], + "bits": [ 666 ], "attributes": { } }, - "$abc$19316$n926": { + "$abc$20640$n1077_1": { "hide_name": 1, - "bits": [ 685 ], + "bits": [ 667 ], "attributes": { } }, - "$abc$19316$n927": { + "$abc$20640$n1078": { "hide_name": 1, - "bits": [ 692 ], + "bits": [ 688 ], "attributes": { } }, - "$abc$19316$n928": { + "$abc$20640$n1079_1": { "hide_name": 1, - "bits": [ 684 ], + "bits": [ 687 ], "attributes": { } }, - "$abc$19316$n929": { + "$abc$20640$n1080": { "hide_name": 1, - "bits": [ 696 ], + "bits": [ 668 ], "attributes": { } }, - "$abc$19316$n930_1": { + "$abc$20640$n1081_1": { "hide_name": 1, - "bits": [ 699 ], + "bits": [ 695 ], "attributes": { } }, - "$abc$19316$n931": { + "$abc$20640$n1082": { "hide_name": 1, - "bits": [ 689 ], + "bits": [ 677 ], "attributes": { } }, - "$abc$19316$n932": { + "$abc$20640$n1084": { "hide_name": 1, - "bits": [ 189 ], + "bits": [ 701 ], "attributes": { } }, - "$abc$19316$n932_1": { + "$abc$20640$n1085_1": { "hide_name": 1, - "bits": [ 686 ], + "bits": [ 703 ], "attributes": { } }, - "$abc$19316$n933": { + "$abc$20640$n1086": { "hide_name": 1, - "bits": [ 190 ], + "bits": [ 704 ], "attributes": { } }, - "$abc$19316$n934": { + "$abc$20640$n1087_1": { "hide_name": 1, - "bits": [ 191 ], + "bits": [ 705 ], "attributes": { } }, - "$abc$19316$n934_1": { + "$abc$20640$n1088": { "hide_name": 1, - "bits": [ 711 ], + "bits": [ 726 ], "attributes": { } }, - "$abc$19316$n935_1": { - "hide_name": 1, - "bits": [ 713 ], - "attributes": { - } - }, - "$abc$19316$n936_1": { - "hide_name": 1, - "bits": [ 714 ], - "attributes": { - } - }, - "$abc$19316$n937": { - "hide_name": 1, - "bits": [ 715 ], - "attributes": { - } - }, - "$abc$19316$n938": { - "hide_name": 1, - "bits": [ 738 ], - "attributes": { - } - }, - "$abc$19316$n939": { - "hide_name": 1, - "bits": [ 716 ], - "attributes": { - } - }, - "$abc$19316$n940": { - "hide_name": 1, - "bits": [ 744 ], - "attributes": { - } - }, - "$abc$19316$n941_1": { + "$abc$20640$n1089_1": { "hide_name": 1, "bits": [ 725 ], "attributes": { } }, - "$abc$19316$n943_1": { + "$abc$20640$n1090": { "hide_name": 1, - "bits": [ 748 ], + "bits": [ 706 ], "attributes": { } }, - "$abc$19316$n944": { + "$abc$20640$n1091_1": { "hide_name": 1, - "bits": [ 755 ], + "bits": [ 733 ], "attributes": { } }, - "$abc$19316$n945": { + "$abc$20640$n1092": { "hide_name": 1, - "bits": [ 747 ], + "bits": [ 715 ], "attributes": { } }, - "$abc$19316$n946": { + "$abc$20640$n1094": { "hide_name": 1, - "bits": [ 759 ], + "bits": [ 739 ], "attributes": { } }, - "$abc$19316$n947": { + "$abc$20640$n1095_1": { "hide_name": 1, - "bits": [ 762 ], + "bits": [ 741 ], "attributes": { } }, - "$abc$19316$n948_1": { + "$abc$20640$n1096_1": { "hide_name": 1, - "bits": [ 752 ], + "bits": [ 742 ], "attributes": { } }, - "$abc$19316$n949_1": { + "$abc$20640$n1097": { "hide_name": 1, - "bits": [ 749 ], + "bits": [ 743 ], "attributes": { } }, - "$abc$19316$n951": { + "$abc$20640$n1098": { "hide_name": 1, - "bits": [ 774 ], + "bits": [ 764 ], "attributes": { } }, - "$abc$19316$n952": { + "$abc$20640$n1099": { "hide_name": 1, - "bits": [ 776 ], + "bits": [ 763 ], "attributes": { } }, - "$abc$19316$n953": { + "$abc$20640$n1100": { + "hide_name": 1, + "bits": [ 744 ], + "attributes": { + } + }, + "$abc$20640$n1101_1": { + "hide_name": 1, + "bits": [ 771 ], + "attributes": { + } + }, + "$abc$20640$n1102_1": { + "hide_name": 1, + "bits": [ 753 ], + "attributes": { + } + }, + "$abc$20640$n1104": { "hide_name": 1, "bits": [ 777 ], "attributes": { } }, - "$abc$19316$n954": { - "hide_name": 1, - "bits": [ 778 ], - "attributes": { - } - }, - "$abc$19316$n955_1": { - "hide_name": 1, - "bits": [ 801 ], - "attributes": { - } - }, - "$abc$19316$n956_1": { + "$abc$20640$n1105": { "hide_name": 1, "bits": [ 779 ], "attributes": { } }, - "$abc$19316$n957_1": { + "$abc$20640$n1106": { "hide_name": 1, - "bits": [ 807 ], + "bits": [ 780 ], "attributes": { } }, - "$abc$19316$n958": { + "$abc$20640$n1107": { "hide_name": 1, - "bits": [ 788 ], + "bits": [ 781 ], "attributes": { } }, - "$abc$19316$n960": { + "$abc$20640$n1108_1": { "hide_name": 1, - "bits": [ 811 ], + "bits": [ 802 ], "attributes": { } }, - "$abc$19316$n961": { + "$abc$20640$n1109_1": { "hide_name": 1, - "bits": [ 814 ], + "bits": [ 801 ], "attributes": { } }, - "$abc$19316$n967": { + "$abc$20640$n1110_1": { "hide_name": 1, - "bits": [ 833 ], + "bits": [ 782 ], "attributes": { } }, - "$abc$19316$n968": { + "$abc$20640$n1111": { + "hide_name": 1, + "bits": [ 809 ], + "attributes": { + } + }, + "$abc$20640$n1112": { + "hide_name": 1, + "bits": [ 791 ], + "attributes": { + } + }, + "$abc$20640$n1114": { + "hide_name": 1, + "bits": [ 815 ], + "attributes": { + } + }, + "$abc$20640$n1115_1": { + "hide_name": 1, + "bits": [ 817 ], + "attributes": { + } + }, + "$abc$20640$n1116_1": { + "hide_name": 1, + "bits": [ 818 ], + "attributes": { + } + }, + "$abc$20640$n1117_1": { + "hide_name": 1, + "bits": [ 819 ], + "attributes": { + } + }, + "$abc$20640$n1118": { + "hide_name": 1, + "bits": [ 840 ], + "attributes": { + } + }, + "$abc$20640$n1119": { + "hide_name": 1, + "bits": [ 839 ], + "attributes": { + } + }, + "$abc$20640$n1120": { + "hide_name": 1, + "bits": [ 820 ], + "attributes": { + } + }, + "$abc$20640$n1121": { "hide_name": 1, "bits": [ 847 ], "attributes": { } }, - "$abc$19316$n969_1": { + "$abc$20640$n1122_1": { "hide_name": 1, - "bits": [ 844 ], + "bits": [ 829 ], "attributes": { } }, - "$abc$19316$n970_1": { + "$abc$20640$n1124_1": { "hide_name": 1, - "bits": [ 823 ], + "bits": [ 853 ], "attributes": { } }, - "$abc$19316$n971_1": { + "$abc$20640$n1125": { "hide_name": 1, - "bits": [ 838 ], + "bits": [ 855 ], "attributes": { } }, - "$abc$19316$n972": { + "$abc$20640$n1126": { "hide_name": 1, - "bits": [ 824 ], + "bits": [ 856 ], "attributes": { } }, - "$abc$19316$n973": { + "$abc$20640$n1127": { "hide_name": 1, - "bits": [ 848 ], + "bits": [ 857 ], "attributes": { } }, - "$abc$19316$n974": { + "$abc$20640$n1128": { "hide_name": 1, - "bits": [ 841 ], + "bits": [ 878 ], "attributes": { } }, - "$abc$19316$n976_1": { + "$abc$20640$n1129_1": { "hide_name": 1, - "bits": [ 859 ], + "bits": [ 877 ], "attributes": { } }, - "$abc$19316$n977_1": { + "$abc$20640$n1130_1": { "hide_name": 1, - "bits": [ 868 ], + "bits": [ 858 ], "attributes": { } }, - "$abc$19316$n978_1": { + "$abc$20640$n1131_1": { "hide_name": 1, - "bits": [ 849 ], + "bits": [ 885 ], "attributes": { } }, - "$abc$19316$n979": { + "$abc$20640$n1132": { "hide_name": 1, - "bits": [ 850 ], + "bits": [ 867 ], "attributes": { } }, - "$abc$19316$n981": { + "$abc$20640$n1134": { "hide_name": 1, - "bits": [ 881 ], + "bits": [ 891 ], "attributes": { } }, - "$abc$19316$n982": { - "hide_name": 1, - "bits": [ 890 ], - "attributes": { - } - }, - "$abc$19316$n983_1": { - "hide_name": 1, - "bits": [ 871 ], - "attributes": { - } - }, - "$abc$19316$n984_1": { - "hide_name": 1, - "bits": [ 872 ], - "attributes": { - } - }, - "$abc$19316$n986": { - "hide_name": 1, - "bits": [ 903 ], - "attributes": { - } - }, - "$abc$19316$n987": { - "hide_name": 1, - "bits": [ 912 ], - "attributes": { - } - }, - "$abc$19316$n988": { + "$abc$20640$n1135": { "hide_name": 1, "bits": [ 893 ], "attributes": { } }, - "$abc$19316$n989": { + "$abc$20640$n1136_1": { "hide_name": 1, "bits": [ 894 ], "attributes": { } }, - "$abc$19316$n991_1": { + "$abc$20640$n1137_1": { + "hide_name": 1, + "bits": [ 895 ], + "attributes": { + } + }, + "$abc$20640$n1138_1": { + "hide_name": 1, + "bits": [ 916 ], + "attributes": { + } + }, + "$abc$20640$n1139": { "hide_name": 1, "bits": [ 915 ], "attributes": { } }, - "$abc$19316$n992_1": { + "$abc$20640$n1140": { "hide_name": 1, - "bits": [ 917 ], + "bits": [ 896 ], "attributes": { } }, - "$abc$19316$n993": { + "$abc$20640$n1141": { "hide_name": 1, - "bits": [ 920 ], + "bits": [ 923 ], "attributes": { } }, - "$abc$19316$n994": { + "$abc$20640$n1142": { "hide_name": 1, - "bits": [ 919 ], + "bits": [ 905 ], "attributes": { } }, - "$abc$19316$n995": { + "$abc$20640$n1144_1": { "hide_name": 1, - "bits": [ 918 ], + "bits": [ 929 ], "attributes": { } }, - "$abc$19316$n997_1": { + "$abc$20640$n1145_1": { "hide_name": 1, - "bits": [ 921 ], + "bits": [ 931 ], "attributes": { } }, - "$abc$19316$n998_1": { + "$abc$20640$n1146": { "hide_name": 1, - "bits": [ 922 ], + "bits": [ 932 ], "attributes": { } }, - "$auto$alumacc.cc:474:replace_alu$8220.C": { + "$abc$20640$n1147": { "hide_name": 1, - "bits": [ 1413, 1414, 1350, 1415 ], + "bits": [ 933 ], "attributes": { - "src": "saturn_core.v:1004|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$8220.FCO": { + "$abc$20640$n1148": { "hide_name": 1, - "bits": [ 1416, 1417, 1351, 1418 ], + "bits": [ 954 ], "attributes": { - "src": "saturn_core.v:1004|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + } + }, + "$abc$20640$n1149": { + "hide_name": 1, + "bits": [ 953 ], + "attributes": { + } + }, + "$abc$20640$n1150_1": { + "hide_name": 1, + "bits": [ 934 ], + "attributes": { + } + }, + "$abc$20640$n1151_1": { + "hide_name": 1, + "bits": [ 961 ], + "attributes": { + } + }, + "$abc$20640$n1152_1": { + "hide_name": 1, + "bits": [ 943 ], + "attributes": { + } + }, + "$abc$20640$n1154": { + "hide_name": 1, + "bits": [ 967 ], + "attributes": { + } + }, + "$abc$20640$n1155": { + "hide_name": 1, + "bits": [ 969 ], + "attributes": { + } + }, + "$abc$20640$n1156": { + "hide_name": 1, + "bits": [ 970 ], + "attributes": { + } + }, + "$abc$20640$n1157_1": { + "hide_name": 1, + "bits": [ 971 ], + "attributes": { + } + }, + "$abc$20640$n1158_1": { + "hide_name": 1, + "bits": [ 992 ], + "attributes": { + } + }, + "$abc$20640$n1159_1": { + "hide_name": 1, + "bits": [ 991 ], + "attributes": { + } + }, + "$abc$20640$n1160": { + "hide_name": 1, + "bits": [ 972 ], + "attributes": { + } + }, + "$abc$20640$n1161": { + "hide_name": 1, + "bits": [ 999 ], + "attributes": { + } + }, + "$abc$20640$n1162": { + "hide_name": 1, + "bits": [ 981 ], + "attributes": { + } + }, + "$abc$20640$n1164_1": { + "hide_name": 1, + "bits": [ 1005 ], + "attributes": { + } + }, + "$abc$20640$n1165_1": { + "hide_name": 1, + "bits": [ 1007 ], + "attributes": { + } + }, + "$abc$20640$n1166_1": { + "hide_name": 1, + "bits": [ 1008 ], + "attributes": { + } + }, + "$abc$20640$n1167": { + "hide_name": 1, + "bits": [ 1009 ], + "attributes": { + } + }, + "$abc$20640$n1168": { + "hide_name": 1, + "bits": [ 1030 ], + "attributes": { + } + }, + "$abc$20640$n1169": { + "hide_name": 1, + "bits": [ 1029 ], + "attributes": { + } + }, + "$abc$20640$n1170": { + "hide_name": 1, + "bits": [ 1010 ], + "attributes": { + } + }, + "$abc$20640$n1171_1": { + "hide_name": 1, + "bits": [ 1037 ], + "attributes": { + } + }, + "$abc$20640$n1172_1": { + "hide_name": 1, + "bits": [ 1019 ], + "attributes": { + } + }, + "$abc$20640$n1174": { + "hide_name": 1, + "bits": [ 1043 ], + "attributes": { + } + }, + "$abc$20640$n1175": { + "hide_name": 1, + "bits": [ 1045 ], + "attributes": { + } + }, + "$abc$20640$n1176": { + "hide_name": 1, + "bits": [ 1046 ], + "attributes": { + } + }, + "$abc$20640$n1177": { + "hide_name": 1, + "bits": [ 1047 ], + "attributes": { + } + }, + "$abc$20640$n1178_1": { + "hide_name": 1, + "bits": [ 1068 ], + "attributes": { + } + }, + "$abc$20640$n1179_1": { + "hide_name": 1, + "bits": [ 1067 ], + "attributes": { + } + }, + "$abc$20640$n1180_1": { + "hide_name": 1, + "bits": [ 1048 ], + "attributes": { + } + }, + "$abc$20640$n1181": { + "hide_name": 1, + "bits": [ 1075 ], + "attributes": { + } + }, + "$abc$20640$n1182": { + "hide_name": 1, + "bits": [ 1057 ], + "attributes": { + } + }, + "$abc$20640$n1184": { + "hide_name": 1, + "bits": [ 1081 ], + "attributes": { + } + }, + "$abc$20640$n1185_1": { + "hide_name": 1, + "bits": [ 1083 ], + "attributes": { + } + }, + "$abc$20640$n1186_1": { + "hide_name": 1, + "bits": [ 1084 ], + "attributes": { + } + }, + "$abc$20640$n1187_1": { + "hide_name": 1, + "bits": [ 1085 ], + "attributes": { + } + }, + "$abc$20640$n1188": { + "hide_name": 1, + "bits": [ 1106 ], + "attributes": { + } + }, + "$abc$20640$n1189": { + "hide_name": 1, + "bits": [ 1105 ], + "attributes": { + } + }, + "$abc$20640$n1190": { + "hide_name": 1, + "bits": [ 1086 ], + "attributes": { + } + }, + "$abc$20640$n1191": { + "hide_name": 1, + "bits": [ 1113 ], + "attributes": { + } + }, + "$abc$20640$n1192_1": { + "hide_name": 1, + "bits": [ 1095 ], + "attributes": { + } + }, + "$abc$20640$n1194_1": { + "hide_name": 1, + "bits": [ 1120 ], + "attributes": { + } + }, + "$abc$20640$n1195": { + "hide_name": 1, + "bits": [ 1117 ], + "attributes": { + } + }, + "$abc$20640$n1200_1": { + "hide_name": 1, + "bits": [ 1131 ], + "attributes": { + } + }, + "$abc$20640$n1201_1": { + "hide_name": 1, + "bits": [ 1136 ], + "attributes": { + } + }, + "$abc$20640$n1202": { + "hide_name": 1, + "bits": [ 1142 ], + "attributes": { + } + }, + "$abc$20640$n1203": { + "hide_name": 1, + "bits": [ 1147 ], + "attributes": { + } + }, + "$abc$20640$n1204": { + "hide_name": 1, + "bits": [ 1146 ], + "attributes": { + } + }, + "$abc$20640$n1205": { + "hide_name": 1, + "bits": [ 1145 ], + "attributes": { + } + }, + "$abc$20640$n1206_1": { + "hide_name": 1, + "bits": [ 1141 ], + "attributes": { + } + }, + "$abc$20640$n1207_1": { + "hide_name": 1, + "bits": [ 1139 ], + "attributes": { + } + }, + "$abc$20640$n1209": { + "hide_name": 1, + "bits": [ 1157 ], + "attributes": { + } + }, + "$abc$20640$n1210_1": { + "hide_name": 1, + "bits": [ 1166 ], + "attributes": { + } + }, + "$abc$20640$n1211": { + "hide_name": 1, + "bits": [ 1169 ], + "attributes": { + } + }, + "$abc$20640$n1212": { + "hide_name": 1, + "bits": [ 1164 ], + "attributes": { + } + }, + "$abc$20640$n1213": { + "hide_name": 1, + "bits": [ 339 ], + "attributes": { + } + }, + "$abc$20640$n1214_1": { + "hide_name": 1, + "bits": [ 1179 ], + "attributes": { + } + }, + "$abc$20640$n1215": { + "hide_name": 1, + "bits": [ 1188 ], + "attributes": { + } + }, + "$abc$20640$n1216": { + "hide_name": 1, + "bits": [ 1191 ], + "attributes": { + } + }, + "$abc$20640$n1217": { + "hide_name": 1, + "bits": [ 1186 ], + "attributes": { + } + }, + "$abc$20640$n1219_1": { + "hide_name": 1, + "bits": [ 1201 ], + "attributes": { + } + }, + "$abc$20640$n1220": { + "hide_name": 1, + "bits": [ 1210 ], + "attributes": { + } + }, + "$abc$20640$n1221": { + "hide_name": 1, + "bits": [ 1213 ], + "attributes": { + } + }, + "$abc$20640$n1222": { + "hide_name": 1, + "bits": [ 1208 ], + "attributes": { + } + }, + "$abc$20640$n1224_1": { + "hide_name": 1, + "bits": [ 1222 ], + "attributes": { + } + }, + "$abc$20640$n1225": { + "hide_name": 1, + "bits": [ 1225 ], + "attributes": { + } + }, + "$abc$20640$n1226": { + "hide_name": 1, + "bits": [ 1227 ], + "attributes": { + } + }, + "$abc$20640$n1227_1": { + "hide_name": 1, + "bits": [ 1226 ], + "attributes": { + } + }, + "$abc$20640$n1228": { + "hide_name": 1, + "bits": [ 1223 ], + "attributes": { + } + }, + "$abc$20640$n1230": { + "hide_name": 1, + "bits": [ 1228 ], + "attributes": { + } + }, + "$abc$20640$n1231_1": { + "hide_name": 1, + "bits": [ 1229 ], + "attributes": { + } + }, + "$abc$20640$n1233": { + "hide_name": 1, + "bits": [ 243 ], + "attributes": { + } + }, + "$abc$20640$n1233_1": { + "hide_name": 1, + "bits": [ 1231 ], + "attributes": { + } + }, + "$abc$20640$n1234_1": { + "hide_name": 1, + "bits": [ 1233 ], + "attributes": { + } + }, + "$abc$20640$n1236": { + "hide_name": 1, + "bits": [ 1234 ], + "attributes": { + } + }, + "$abc$20640$n1238_1": { + "hide_name": 1, + "bits": [ 1237 ], + "attributes": { + } + }, + "$abc$20640$n1240": { + "hide_name": 1, + "bits": [ 1241 ], + "attributes": { + } + }, + "$abc$20640$n1242_1": { + "hide_name": 1, + "bits": [ 1245 ], + "attributes": { + } + }, + "$abc$20640$n1244": { + "hide_name": 1, + "bits": [ 1250 ], + "attributes": { + } + }, + "$abc$20640$n1245_1": { + "hide_name": 1, + "bits": [ 1249 ], + "attributes": { + } + }, + "$abc$20640$n1247": { + "hide_name": 1, + "bits": [ 1253 ], + "attributes": { + } + }, + "$abc$20640$n1249_1": { + "hide_name": 1, + "bits": [ 1256 ], + "attributes": { + } + }, + "$abc$20640$n1251": { + "hide_name": 1, + "bits": [ 1259 ], + "attributes": { + } + }, + "$abc$20640$n1253_1": { + "hide_name": 1, + "bits": [ 1262 ], + "attributes": { + } + }, + "$abc$20640$n1255": { + "hide_name": 1, + "bits": [ 1265 ], + "attributes": { + } + }, + "$abc$20640$n1257": { + "hide_name": 1, + "bits": [ 1268 ], + "attributes": { + } + }, + "$abc$20640$n1259_1": { + "hide_name": 1, + "bits": [ 1271 ], + "attributes": { + } + }, + "$abc$20640$n1261": { + "hide_name": 1, + "bits": [ 1274 ], + "attributes": { + } + }, + "$abc$20640$n1263": { + "hide_name": 1, + "bits": [ 1277 ], + "attributes": { + } + }, + "$abc$20640$n1265": { + "hide_name": 1, + "bits": [ 1280 ], + "attributes": { + } + }, + "$abc$20640$n1267": { + "hide_name": 1, + "bits": [ 1283 ], + "attributes": { + } + }, + "$abc$20640$n1269": { + "hide_name": 1, + "bits": [ 1286 ], + "attributes": { + } + }, + "$abc$20640$n1271": { + "hide_name": 1, + "bits": [ 1289 ], + "attributes": { + } + }, + "$abc$20640$n1273": { + "hide_name": 1, + "bits": [ 1292 ], + "attributes": { + } + }, + "$abc$20640$n1275": { + "hide_name": 1, + "bits": [ 1294 ], + "attributes": { + } + }, + "$abc$20640$n1277": { + "hide_name": 1, + "bits": [ 1296 ], + "attributes": { + } + }, + "$abc$20640$n1288": { + "hide_name": 1, + "bits": [ 341 ], + "attributes": { + } + }, + "$abc$20640$n1289": { + "hide_name": 1, + "bits": [ 342 ], + "attributes": { + } + }, + "$abc$20640$n1290": { + "hide_name": 1, + "bits": [ 343 ], + "attributes": { + } + }, + "$abc$20640$n1291": { + "hide_name": 1, + "bits": [ 344 ], + "attributes": { + } + }, + "$abc$20640$n1294": { + "hide_name": 1, + "bits": [ 1315 ], + "attributes": { + } + }, + "$abc$20640$n1295": { + "hide_name": 1, + "bits": [ 1316 ], + "attributes": { + } + }, + "$abc$20640$n1298": { + "hide_name": 1, + "bits": [ 1325 ], + "attributes": { + } + }, + "$abc$20640$n1299": { + "hide_name": 1, + "bits": [ 1326 ], + "attributes": { + } + }, + "$abc$20640$n1301": { + "hide_name": 1, + "bits": [ 1332 ], + "attributes": { + } + }, + "$abc$20640$n1302": { + "hide_name": 1, + "bits": [ 1333 ], + "attributes": { + } + }, + "$abc$20640$n1304": { + "hide_name": 1, + "bits": [ 1339 ], + "attributes": { + } + }, + "$abc$20640$n1305": { + "hide_name": 1, + "bits": [ 1340 ], + "attributes": { + } + }, + "$abc$20640$n1308": { + "hide_name": 1, + "bits": [ 1346 ], + "attributes": { + } + }, + "$abc$20640$n1310": { + "hide_name": 1, + "bits": [ 1348 ], + "attributes": { + } + }, + "$abc$20640$n1311": { + "hide_name": 1, + "bits": [ 1351 ], + "attributes": { + } + }, + "$abc$20640$n1312": { + "hide_name": 1, + "bits": [ 1352 ], + "attributes": { + } + }, + "$abc$20640$n1313": { + "hide_name": 1, + "bits": [ 1350 ], + "attributes": { + } + }, + "$abc$20640$n1315": { + "hide_name": 1, + "bits": [ 1354 ], + "attributes": { + } + }, + "$abc$20640$n1316": { + "hide_name": 1, + "bits": [ 1353 ], + "attributes": { + } + }, + "$abc$20640$n1318": { + "hide_name": 1, + "bits": [ 1356 ], + "attributes": { + } + }, + "$abc$20640$n1319": { + "hide_name": 1, + "bits": [ 1358 ], + "attributes": { + } + }, + "$abc$20640$n1321": { + "hide_name": 1, + "bits": [ 1359 ], + "attributes": { + } + }, + "$abc$20640$n1322": { + "hide_name": 1, + "bits": [ 1361 ], + "attributes": { + } + }, + "$abc$20640$n1325": { + "hide_name": 1, + "bits": [ 1364 ], + "attributes": { + } + }, + "$abc$20640$n1326": { + "hide_name": 1, + "bits": [ 1363 ], + "attributes": { + } + }, + "$abc$20640$n1328": { + "hide_name": 1, + "bits": [ 1370 ], + "attributes": { + } + }, + "$abc$20640$n1329": { + "hide_name": 1, + "bits": [ 1372 ], + "attributes": { + } + }, + "$abc$20640$n1333": { + "hide_name": 1, + "bits": [ 1378 ], + "attributes": { + } + }, + "$abc$20640$n1334": { + "hide_name": 1, + "bits": [ 1377 ], + "attributes": { + } + }, + "$abc$20640$n1336": { + "hide_name": 1, + "bits": [ 1380 ], + "attributes": { + } + }, + "$abc$20640$n1338": { + "hide_name": 1, + "bits": [ 1383 ], + "attributes": { + } + }, + "$abc$20640$n1339": { + "hide_name": 1, + "bits": [ 1382 ], + "attributes": { + } + }, + "$abc$20640$n1348": { + "hide_name": 1, + "bits": [ 1394 ], + "attributes": { + } + }, + "$abc$20640$n1349": { + "hide_name": 1, + "bits": [ 1401 ], + "attributes": { + } + }, + "$abc$20640$n1350": { + "hide_name": 1, + "bits": [ 1402 ], + "attributes": { + } + }, + "$abc$20640$n1351": { + "hide_name": 1, + "bits": [ 1406 ], + "attributes": { + } + }, + "$abc$20640$n1352": { + "hide_name": 1, + "bits": [ 1403 ], + "attributes": { + } + }, + "$abc$20640$n1353": { + "hide_name": 1, + "bits": [ 1409 ], + "attributes": { + } + }, + "$abc$20640$n1354": { + "hide_name": 1, + "bits": [ 1396 ], + "attributes": { + } + }, + "$abc$20640$n1355": { + "hide_name": 1, + "bits": [ 1418 ], + "attributes": { + } + }, + "$abc$20640$n1356": { + "hide_name": 1, + "bits": [ 1415 ], + "attributes": { + } + }, + "$abc$20640$n1357": { + "hide_name": 1, + "bits": [ 1419 ], + "attributes": { + } + }, + "$abc$20640$n1358": { + "hide_name": 1, + "bits": [ 1410 ], + "attributes": { + } + }, + "$abc$20640$n1359": { + "hide_name": 1, + "bits": [ 346 ], + "attributes": { + } + }, + "$abc$20640$n1359_1": { + "hide_name": 1, + "bits": [ 1422 ], + "attributes": { + } + }, + "$abc$20640$n1360": { + "hide_name": 1, + "bits": [ 1395 ], + "attributes": { + } + }, + "$abc$20640$n1361": { + "hide_name": 1, + "bits": [ 347 ], + "attributes": { + } + }, + "$abc$20640$n1361_1": { + "hide_name": 1, + "bits": [ 1399 ], + "attributes": { + } + }, + "$abc$20640$n1362": { + "hide_name": 1, + "bits": [ 1426 ], + "attributes": { + } + }, + "$abc$20640$n1363": { + "hide_name": 1, + "bits": [ 348 ], + "attributes": { + } + }, + "$abc$20640$n1363_1": { + "hide_name": 1, + "bits": [ 1437 ], + "attributes": { + } + }, + "$abc$20640$n1364": { + "hide_name": 1, + "bits": [ 1448 ], + "attributes": { + } + }, + "$abc$20640$n1365": { + "hide_name": 1, + "bits": [ 349 ], + "attributes": { + } + }, + "$abc$20640$n1365_1": { + "hide_name": 1, + "bits": [ 1449 ], + "attributes": { + } + }, + "$abc$20640$n1366": { + "hide_name": 1, + "bits": [ 1428 ], + "attributes": { + } + }, + "$abc$20640$n1367": { + "hide_name": 1, + "bits": [ 350 ], + "attributes": { + } + }, + "$abc$20640$n1367_1": { + "hide_name": 1, + "bits": [ 1460 ], + "attributes": { + } + }, + "$abc$20640$n1368": { + "hide_name": 1, + "bits": [ 1427 ], + "attributes": { + } + }, + "$abc$20640$n1369": { + "hide_name": 1, + "bits": [ 351 ], + "attributes": { + } + }, + "$abc$20640$n1369_1": { + "hide_name": 1, + "bits": [ 1442 ], + "attributes": { + } + }, + "$abc$20640$n1370": { + "hide_name": 1, + "bits": [ 1466 ], + "attributes": { + } + }, + "$abc$20640$n1371": { + "hide_name": 1, + "bits": [ 352 ], + "attributes": { + } + }, + "$abc$20640$n1371_1": { + "hide_name": 1, + "bits": [ 1461 ], + "attributes": { + } + }, + "$abc$20640$n1372": { + "hide_name": 1, + "bits": [ 1445 ], + "attributes": { + } + }, + "$abc$20640$n1373": { + "hide_name": 1, + "bits": [ 353 ], + "attributes": { + } + }, + "$abc$20640$n1373_1": { + "hide_name": 1, + "bits": [ 1425 ], + "attributes": { + } + }, + "$abc$20640$n1375": { + "hide_name": 1, + "bits": [ 354 ], + "attributes": { + } + }, + "$abc$20640$n1375_1": { + "hide_name": 1, + "bits": [ 1472 ], + "attributes": { + } + }, + "$abc$20640$n1376": { + "hide_name": 1, + "bits": [ 1469 ], + "attributes": { + } + }, + "$abc$20640$n1377": { + "hide_name": 1, + "bits": [ 355 ], + "attributes": { + } + }, + "$abc$20640$n1378": { + "hide_name": 1, + "bits": [ 1474 ], + "attributes": { + } + }, + "$abc$20640$n1379": { + "hide_name": 1, + "bits": [ 356 ], + "attributes": { + } + }, + "$abc$20640$n1381": { + "hide_name": 1, + "bits": [ 357 ], + "attributes": { + } + }, + "$abc$20640$n1381_1": { + "hide_name": 1, + "bits": [ 1478 ], + "attributes": { + } + }, + "$abc$20640$n1382": { + "hide_name": 1, + "bits": [ 1480 ], + "attributes": { + } + }, + "$abc$20640$n1383": { + "hide_name": 1, + "bits": [ 358 ], + "attributes": { + } + }, + "$abc$20640$n1383_1": { + "hide_name": 1, + "bits": [ 1485 ], + "attributes": { + } + }, + "$abc$20640$n1384": { + "hide_name": 1, + "bits": [ 1482 ], + "attributes": { + } + }, + "$abc$20640$n1385": { + "hide_name": 1, + "bits": [ 359 ], + "attributes": { + } + }, + "$abc$20640$n1385_1": { + "hide_name": 1, + "bits": [ 1481 ], + "attributes": { + } + }, + "$abc$20640$n1386": { + "hide_name": 1, + "bits": [ 1477 ], + "attributes": { + } + }, + "$abc$20640$n1387": { + "hide_name": 1, + "bits": [ 360 ], + "attributes": { + } + }, + "$abc$20640$n1388": { + "hide_name": 1, + "bits": [ 1488 ], + "attributes": { + } + }, + "$abc$20640$n1389": { + "hide_name": 1, + "bits": [ 361 ], + "attributes": { + } + }, + "$abc$20640$n1389_1": { + "hide_name": 1, + "bits": [ 1494 ], + "attributes": { + } + }, + "$abc$20640$n1390": { + "hide_name": 1, + "bits": [ 362 ], + "attributes": { + } + }, + "$abc$20640$n1390_1": { + "hide_name": 1, + "bits": [ 1501 ], + "attributes": { + } + }, + "$abc$20640$n1391": { + "hide_name": 1, + "bits": [ 363 ], + "attributes": { + } + }, + "$abc$20640$n1391_1": { + "hide_name": 1, + "bits": [ 1493 ], + "attributes": { + } + }, + "$abc$20640$n1392": { + "hide_name": 1, + "bits": [ 364 ], + "attributes": { + } + }, + "$abc$20640$n1392_1": { + "hide_name": 1, + "bits": [ 1510 ], + "attributes": { + } + }, + "$abc$20640$n1393": { + "hide_name": 1, + "bits": [ 365 ], + "attributes": { + } + }, + "$abc$20640$n1393_1": { + "hide_name": 1, + "bits": [ 1489 ], + "attributes": { + } + }, + "$abc$20640$n1394": { + "hide_name": 1, + "bits": [ 366 ], + "attributes": { + } + }, + "$abc$20640$n1394_1": { + "hide_name": 1, + "bits": [ 1511 ], + "attributes": { + } + }, + "$abc$20640$n1395": { + "hide_name": 1, + "bits": [ 367 ], + "attributes": { + } + }, + "$abc$20640$n1395_1": { + "hide_name": 1, + "bits": [ 1491 ], + "attributes": { + } + }, + "$abc$20640$n1396": { + "hide_name": 1, + "bits": [ 368 ], + "attributes": { + } + }, + "$abc$20640$n1396_1": { + "hide_name": 1, + "bits": [ 1514 ], + "attributes": { + } + }, + "$abc$20640$n1397": { + "hide_name": 1, + "bits": [ 369 ], + "attributes": { + } + }, + "$abc$20640$n1397_1": { + "hide_name": 1, + "bits": [ 1490 ], + "attributes": { + } + }, + "$abc$20640$n1398": { + "hide_name": 1, + "bits": [ 370 ], + "attributes": { + } + }, + "$abc$20640$n1399": { + "hide_name": 1, + "bits": [ 371 ], + "attributes": { + } + }, + "$abc$20640$n1400": { + "hide_name": 1, + "bits": [ 372 ], + "attributes": { + } + }, + "$abc$20640$n1401": { + "hide_name": 1, + "bits": [ 373 ], + "attributes": { + } + }, + "$abc$20640$n1402": { + "hide_name": 1, + "bits": [ 374 ], + "attributes": { + } + }, + "$abc$20640$n1403": { + "hide_name": 1, + "bits": [ 375 ], + "attributes": { + } + }, + "$abc$20640$n1404": { + "hide_name": 1, + "bits": [ 376 ], + "attributes": { + } + }, + "$abc$20640$n1405": { + "hide_name": 1, + "bits": [ 377 ], + "attributes": { + } + }, + "$abc$20640$n1407": { + "hide_name": 1, + "bits": [ 379 ], + "attributes": { + } + }, + "$abc$20640$n1408": { + "hide_name": 1, + "bits": [ 380 ], + "attributes": { + } + }, + "$abc$20640$n1409": { + "hide_name": 1, + "bits": [ 381 ], + "attributes": { + } + }, + "$abc$20640$n1410": { + "hide_name": 1, + "bits": [ 382 ], + "attributes": { + } + }, + "$abc$20640$n1411": { + "hide_name": 1, + "bits": [ 383 ], + "attributes": { + } + }, + "$abc$20640$n1412": { + "hide_name": 1, + "bits": [ 384 ], + "attributes": { + } + }, + "$abc$20640$n1413": { + "hide_name": 1, + "bits": [ 385 ], + "attributes": { + } + }, + "$abc$20640$n1414": { + "hide_name": 1, + "bits": [ 386 ], + "attributes": { + } + }, + "$abc$20640$n1415": { + "hide_name": 1, + "bits": [ 387 ], + "attributes": { + } + }, + "$abc$20640$n1416": { + "hide_name": 1, + "bits": [ 388 ], + "attributes": { + } + }, + "$abc$20640$n1417": { + "hide_name": 1, + "bits": [ 389 ], + "attributes": { + } + }, + "$abc$20640$n1418": { + "hide_name": 1, + "bits": [ 390 ], + "attributes": { + } + }, + "$abc$20640$n1419": { + "hide_name": 1, + "bits": [ 391 ], + "attributes": { + } + }, + "$abc$20640$n1420": { + "hide_name": 1, + "bits": [ 392 ], + "attributes": { + } + }, + "$abc$20640$n1421": { + "hide_name": 1, + "bits": [ 393 ], + "attributes": { + } + }, + "$abc$20640$n1422": { + "hide_name": 1, + "bits": [ 394 ], + "attributes": { + } + }, + "$abc$20640$n155": { + "hide_name": 1, + "bits": [ 181 ], + "attributes": { + } + }, + "$abc$20640$n1716": { + "hide_name": 1, + "bits": [ 395 ], + "attributes": { + } + }, + "$abc$20640$n1730": { + "hide_name": 1, + "bits": [ 396 ], + "attributes": { + } + }, + "$abc$20640$n1743": { + "hide_name": 1, + "bits": [ 397 ], + "attributes": { + } + }, + "$abc$20640$n1744": { + "hide_name": 1, + "bits": [ 398 ], + "attributes": { + } + }, + "$abc$20640$n1745": { + "hide_name": 1, + "bits": [ 399 ], + "attributes": { + } + }, + "$abc$20640$n1746": { + "hide_name": 1, + "bits": [ 400 ], + "attributes": { + } + }, + "$abc$20640$n1747": { + "hide_name": 1, + "bits": [ 401 ], + "attributes": { + } + }, + "$abc$20640$n1748": { + "hide_name": 1, + "bits": [ 402 ], + "attributes": { + } + }, + "$abc$20640$n1749": { + "hide_name": 1, + "bits": [ 403 ], + "attributes": { + } + }, + "$abc$20640$n1750": { + "hide_name": 1, + "bits": [ 404 ], + "attributes": { + } + }, + "$abc$20640$n1751": { + "hide_name": 1, + "bits": [ 405 ], + "attributes": { + } + }, + "$abc$20640$n1752": { + "hide_name": 1, + "bits": [ 406 ], + "attributes": { + } + }, + "$abc$20640$n1753": { + "hide_name": 1, + "bits": [ 407 ], + "attributes": { + } + }, + "$abc$20640$n1754": { + "hide_name": 1, + "bits": [ 408 ], + "attributes": { + } + }, + "$abc$20640$n1755": { + "hide_name": 1, + "bits": [ 409 ], + "attributes": { + } + }, + "$abc$20640$n1756": { + "hide_name": 1, + "bits": [ 410 ], + "attributes": { + } + }, + "$abc$20640$n1757": { + "hide_name": 1, + "bits": [ 411 ], + "attributes": { + } + }, + "$abc$20640$n1758": { + "hide_name": 1, + "bits": [ 412 ], + "attributes": { + } + }, + "$abc$20640$n1775": { + "hide_name": 1, + "bits": [ 414 ], + "attributes": { + } + }, + "$abc$20640$n1785": { + "hide_name": 1, + "bits": [ 419 ], + "attributes": { + } + }, + "$abc$20640$n1786": { + "hide_name": 1, + "bits": [ 420 ], + "attributes": { + } + }, + "$abc$20640$n1787": { + "hide_name": 1, + "bits": [ 421 ], + "attributes": { + } + }, + "$abc$20640$n1788": { + "hide_name": 1, + "bits": [ 422 ], + "attributes": { + } + }, + "$abc$20640$n1789": { + "hide_name": 1, + "bits": [ 423 ], + "attributes": { + } + }, + "$abc$20640$n1790": { + "hide_name": 1, + "bits": [ 424 ], + "attributes": { + } + }, + "$abc$20640$n1791": { + "hide_name": 1, + "bits": [ 425 ], + "attributes": { + } + }, + "$abc$20640$n1792": { + "hide_name": 1, + "bits": [ 426 ], + "attributes": { + } + }, + "$abc$20640$n1793": { + "hide_name": 1, + "bits": [ 427 ], + "attributes": { + } + }, + "$abc$20640$n1794": { + "hide_name": 1, + "bits": [ 428 ], + "attributes": { + } + }, + "$abc$20640$n1795": { + "hide_name": 1, + "bits": [ 429 ], + "attributes": { + } + }, + "$abc$20640$n1796": { + "hide_name": 1, + "bits": [ 430 ], + "attributes": { + } + }, + "$abc$20640$n1797": { + "hide_name": 1, + "bits": [ 431 ], + "attributes": { + } + }, + "$abc$20640$n1798": { + "hide_name": 1, + "bits": [ 432 ], + "attributes": { + } + }, + "$abc$20640$n1799": { + "hide_name": 1, + "bits": [ 433 ], + "attributes": { + } + }, + "$abc$20640$n1800": { + "hide_name": 1, + "bits": [ 434 ], + "attributes": { + } + }, + "$abc$20640$n1801": { + "hide_name": 1, + "bits": [ 435 ], + "attributes": { + } + }, + "$abc$20640$n1802": { + "hide_name": 1, + "bits": [ 436 ], + "attributes": { + } + }, + "$abc$20640$n1803": { + "hide_name": 1, + "bits": [ 437 ], + "attributes": { + } + }, + "$abc$20640$n1804": { + "hide_name": 1, + "bits": [ 438 ], + "attributes": { + } + }, + "$abc$20640$n1805": { + "hide_name": 1, + "bits": [ 439 ], + "attributes": { + } + }, + "$abc$20640$n1806": { + "hide_name": 1, + "bits": [ 440 ], + "attributes": { + } + }, + "$abc$20640$n1807": { + "hide_name": 1, + "bits": [ 441 ], + "attributes": { + } + }, + "$abc$20640$n1808": { + "hide_name": 1, + "bits": [ 442 ], + "attributes": { + } + }, + "$abc$20640$n1809": { + "hide_name": 1, + "bits": [ 443 ], + "attributes": { + } + }, + "$abc$20640$n1810": { + "hide_name": 1, + "bits": [ 444 ], + "attributes": { + } + }, + "$abc$20640$n1811": { + "hide_name": 1, + "bits": [ 445 ], + "attributes": { + } + }, + "$abc$20640$n1812": { + "hide_name": 1, + "bits": [ 446 ], + "attributes": { + } + }, + "$abc$20640$n1813": { + "hide_name": 1, + "bits": [ 447 ], + "attributes": { + } + }, + "$abc$20640$n1814": { + "hide_name": 1, + "bits": [ 448 ], + "attributes": { + } + }, + "$abc$20640$n1815": { + "hide_name": 1, + "bits": [ 449 ], + "attributes": { + } + }, + "$abc$20640$n1816": { + "hide_name": 1, + "bits": [ 450 ], + "attributes": { + } + }, + "$abc$20640$n1818": { + "hide_name": 1, + "bits": [ 452 ], + "attributes": { + } + }, + "$abc$20640$n1819": { + "hide_name": 1, + "bits": [ 453 ], + "attributes": { + } + }, + "$abc$20640$n1820": { + "hide_name": 1, + "bits": [ 454 ], + "attributes": { + } + }, + "$abc$20640$n1821": { + "hide_name": 1, + "bits": [ 455 ], + "attributes": { + } + }, + "$abc$20640$n1822": { + "hide_name": 1, + "bits": [ 456 ], + "attributes": { + } + }, + "$abc$20640$n1823": { + "hide_name": 1, + "bits": [ 457 ], + "attributes": { + } + }, + "$abc$20640$n1824": { + "hide_name": 1, + "bits": [ 458 ], + "attributes": { + } + }, + "$abc$20640$n1825": { + "hide_name": 1, + "bits": [ 459 ], + "attributes": { + } + }, + "$abc$20640$n1826": { + "hide_name": 1, + "bits": [ 460 ], + "attributes": { + } + }, + "$abc$20640$n1827": { + "hide_name": 1, + "bits": [ 461 ], + "attributes": { + } + }, + "$abc$20640$n1828": { + "hide_name": 1, + "bits": [ 462 ], + "attributes": { + } + }, + "$abc$20640$n1829": { + "hide_name": 1, + "bits": [ 463 ], + "attributes": { + } + }, + "$abc$20640$n1830": { + "hide_name": 1, + "bits": [ 464 ], + "attributes": { + } + }, + "$abc$20640$n1831": { + "hide_name": 1, + "bits": [ 465 ], + "attributes": { + } + }, + "$abc$20640$n1832": { + "hide_name": 1, + "bits": [ 466 ], + "attributes": { + } + }, + "$abc$20640$n1833": { + "hide_name": 1, + "bits": [ 467 ], + "attributes": { + } + }, + "$abc$20640$n1916": { + "hide_name": 1, + "bits": [ 545 ], + "attributes": { + } + }, + "$abc$20640$n1919": { + "hide_name": 1, + "bits": [ 583 ], + "attributes": { + } + }, + "$abc$20640$n1921": { + "hide_name": 1, + "bits": [ 621 ], + "attributes": { + } + }, + "$abc$20640$n1923": { + "hide_name": 1, + "bits": [ 659 ], + "attributes": { + } + }, + "$abc$20640$n1925": { + "hide_name": 1, + "bits": [ 697 ], + "attributes": { + } + }, + "$abc$20640$n1927": { + "hide_name": 1, + "bits": [ 735 ], + "attributes": { + } + }, + "$abc$20640$n1929": { + "hide_name": 1, + "bits": [ 773 ], + "attributes": { + } + }, + "$abc$20640$n1931": { + "hide_name": 1, + "bits": [ 811 ], + "attributes": { + } + }, + "$abc$20640$n1933": { + "hide_name": 1, + "bits": [ 849 ], + "attributes": { + } + }, + "$abc$20640$n1935": { + "hide_name": 1, + "bits": [ 887 ], + "attributes": { + } + }, + "$abc$20640$n1937": { + "hide_name": 1, + "bits": [ 925 ], + "attributes": { + } + }, + "$abc$20640$n1939": { + "hide_name": 1, + "bits": [ 963 ], + "attributes": { + } + }, + "$abc$20640$n1941": { + "hide_name": 1, + "bits": [ 1001 ], + "attributes": { + } + }, + "$abc$20640$n1943": { + "hide_name": 1, + "bits": [ 1039 ], + "attributes": { + } + }, + "$abc$20640$n1945": { + "hide_name": 1, + "bits": [ 1077 ], + "attributes": { + } + }, + "$abc$20640$n1947": { + "hide_name": 1, + "bits": [ 1115 ], + "attributes": { + } + }, + "$abc$20640$n195": { + "hide_name": 1, + "bits": [ 186 ], + "attributes": { + } + }, + "$abc$20640$n1965": { + "hide_name": 1, + "bits": [ 505 ], + "attributes": { + } + }, + "$abc$20640$n1967": { + "hide_name": 1, + "bits": [ 546 ], + "attributes": { + } + }, + "$abc$20640$n1969": { + "hide_name": 1, + "bits": [ 584 ], + "attributes": { + } + }, + "$abc$20640$n1971": { + "hide_name": 1, + "bits": [ 622 ], + "attributes": { + } + }, + "$abc$20640$n1973": { + "hide_name": 1, + "bits": [ 660 ], + "attributes": { + } + }, + "$abc$20640$n1975": { + "hide_name": 1, + "bits": [ 698 ], + "attributes": { + } + }, + "$abc$20640$n1977": { + "hide_name": 1, + "bits": [ 736 ], + "attributes": { + } + }, + "$abc$20640$n1979": { + "hide_name": 1, + "bits": [ 774 ], + "attributes": { + } + }, + "$abc$20640$n1981": { + "hide_name": 1, + "bits": [ 812 ], + "attributes": { + } + }, + "$abc$20640$n1983": { + "hide_name": 1, + "bits": [ 850 ], + "attributes": { + } + }, + "$abc$20640$n1985": { + "hide_name": 1, + "bits": [ 888 ], + "attributes": { + } + }, + "$abc$20640$n1987": { + "hide_name": 1, + "bits": [ 926 ], + "attributes": { + } + }, + "$abc$20640$n1989": { + "hide_name": 1, + "bits": [ 964 ], + "attributes": { + } + }, + "$abc$20640$n1991": { + "hide_name": 1, + "bits": [ 1002 ], + "attributes": { + } + }, + "$abc$20640$n1993": { + "hide_name": 1, + "bits": [ 1040 ], + "attributes": { + } + }, + "$abc$20640$n1995": { + "hide_name": 1, + "bits": [ 1078 ], + "attributes": { + } + }, + "$abc$20640$n2083": { + "hide_name": 1, + "bits": [ 1130 ], + "attributes": { + } + }, + "$abc$20640$n2085": { + "hide_name": 1, + "bits": [ 1156 ], + "attributes": { + } + }, + "$abc$20640$n2087": { + "hide_name": 1, + "bits": [ 1178 ], + "attributes": { + } + }, + "$abc$20640$n2089": { + "hide_name": 1, + "bits": [ 1200 ], + "attributes": { + } + }, + "$abc$20640$n2196": { + "hide_name": 1, + "bits": [ 1239 ], + "attributes": { + } + }, + "$abc$20640$n2198": { + "hide_name": 1, + "bits": [ 1243 ], + "attributes": { + } + }, + "$abc$20640$n2200": { + "hide_name": 1, + "bits": [ 1247 ], + "attributes": { + } + }, + "$abc$20640$n2202": { + "hide_name": 1, + "bits": [ 1236 ], + "attributes": { + } + }, + "$abc$20640$n2204": { + "hide_name": 1, + "bits": [ 1240 ], + "attributes": { + } + }, + "$abc$20640$n2206": { + "hide_name": 1, + "bits": [ 1244 ], + "attributes": { + } + }, + "$abc$20640$n224": { + "hide_name": 1, + "bits": [ 190 ], + "attributes": { + } + }, + "$abc$20640$n2287": { + "hide_name": 1, + "bits": [ 1297 ], + "attributes": { + } + }, + "$abc$20640$n2288": { + "hide_name": 1, + "bits": [ 1298 ], + "attributes": { + } + }, + "$abc$20640$n2289": { + "hide_name": 1, + "bits": [ 1299 ], + "attributes": { + } + }, + "$abc$20640$n2290": { + "hide_name": 1, + "bits": [ 1300 ], + "attributes": { + } + }, + "$abc$20640$n2291": { + "hide_name": 1, + "bits": [ 1301 ], + "attributes": { + } + }, + "$abc$20640$n2292": { + "hide_name": 1, + "bits": [ 1302 ], + "attributes": { + } + }, + "$abc$20640$n2293": { + "hide_name": 1, + "bits": [ 1303 ], + "attributes": { + } + }, + "$abc$20640$n2294": { + "hide_name": 1, + "bits": [ 1304 ], + "attributes": { + } + }, + "$abc$20640$n2295": { + "hide_name": 1, + "bits": [ 1305 ], + "attributes": { + } + }, + "$abc$20640$n2296": { + "hide_name": 1, + "bits": [ 1306 ], + "attributes": { + } + }, + "$abc$20640$n2297": { + "hide_name": 1, + "bits": [ 1307 ], + "attributes": { + } + }, + "$abc$20640$n2298": { + "hide_name": 1, + "bits": [ 1308 ], + "attributes": { + } + }, + "$abc$20640$n2299": { + "hide_name": 1, + "bits": [ 1309 ], + "attributes": { + } + }, + "$abc$20640$n2300": { + "hide_name": 1, + "bits": [ 1310 ], + "attributes": { + } + }, + "$abc$20640$n2301": { + "hide_name": 1, + "bits": [ 1311 ], + "attributes": { + } + }, + "$abc$20640$n2302": { + "hide_name": 1, + "bits": [ 1312 ], + "attributes": { + } + }, + "$abc$20640$n2308": { + "hide_name": 1, + "bits": [ 1320 ], + "attributes": { + } + }, + "$abc$20640$n2310": { + "hide_name": 1, + "bits": [ 1323 ], + "attributes": { + } + }, + "$abc$20640$n2311": { + "hide_name": 1, + "bits": [ 238 ], + "attributes": { + } + }, + "$abc$20640$n2322": { + "hide_name": 1, + "bits": [ 1328 ], + "attributes": { + } + }, + "$abc$20640$n2325": { + "hide_name": 1, + "bits": [ 1335 ], + "attributes": { + } + }, + "$abc$20640$n2328": { + "hide_name": 1, + "bits": [ 1342 ], + "attributes": { + } + }, + "$abc$20640$n2536": { + "hide_name": 1, + "bits": [ 246 ], + "attributes": { + } + }, + "$abc$20640$n2634": { + "hide_name": 1, + "bits": [ 245 ], + "attributes": { + } + }, + "$abc$20640$n2777": { + "hide_name": 1, + "bits": [ 1345 ], + "attributes": { + } + }, + "$abc$20640$n2866": { + "hide_name": 1, + "bits": [ 1347 ], + "attributes": { + } + }, + "$abc$20640$n2976": { + "hide_name": 1, + "bits": [ 1349 ], + "attributes": { + } + }, + "$abc$20640$n2983": { + "hide_name": 1, + "bits": [ 1355 ], + "attributes": { + } + }, + "$abc$20640$n2993": { + "hide_name": 1, + "bits": [ 1357 ], + "attributes": { + } + }, + "$abc$20640$n3004": { + "hide_name": 1, + "bits": [ 1360 ], + "attributes": { + } + }, + "$abc$20640$n3034": { + "hide_name": 1, + "bits": [ 1362 ], + "attributes": { + } + }, + "$abc$20640$n3038": { + "hide_name": 1, + "bits": [ 1365 ], + "attributes": { + } + }, + "$abc$20640$n3049": { + "hide_name": 1, + "bits": [ 1371 ], + "attributes": { + } + }, + "$abc$20640$n3111": { + "hide_name": 1, + "bits": [ 1375 ], + "attributes": { + } + }, + "$abc$20640$n3130": { + "hide_name": 1, + "bits": [ 1376 ], + "attributes": { + } + }, + "$abc$20640$n3132": { + "hide_name": 1, + "bits": [ 1379 ], + "attributes": { + } + }, + "$abc$20640$n3173": { + "hide_name": 1, + "bits": [ 1381 ], + "attributes": { + } + }, + "$abc$20640$n3179": { + "hide_name": 1, + "bits": [ 1384 ], + "attributes": { + } + }, + "$abc$20640$n3457": { + "hide_name": 1, + "bits": [ 1385 ], + "attributes": { + } + }, + "$abc$20640$n3461": { + "hide_name": 1, + "bits": [ 1388 ], + "attributes": { + } + }, + "$abc$20640$n3470": { + "hide_name": 1, + "bits": [ 1389 ], + "attributes": { + } + }, + "$abc$20640$n3473": { + "hide_name": 1, + "bits": [ 1390 ], + "attributes": { + } + }, + "$abc$20640$n3515": { + "hide_name": 1, + "bits": [ 1391 ], + "attributes": { + } + }, + "$abc$20640$n3530": { + "hide_name": 1, + "bits": [ 1392 ], + "attributes": { + } + }, + "$abc$20640$n3672": { + "hide_name": 1, + "bits": [ 1393 ], + "attributes": { + } + }, + "$abc$20640$n369": { + "hide_name": 1, + "bits": [ 198 ], + "attributes": { + } + }, + "$abc$20640$n3754": { + "hide_name": 1, + "bits": [ 1400 ], + "attributes": { + } + }, + "$abc$20640$n3757": { + "hide_name": 1, + "bits": [ 1473 ], + "attributes": { + } + }, + "$abc$20640$n3847": { + "hide_name": 1, + "bits": [ 1475 ], + "attributes": { + } + }, + "$abc$20640$n3851": { + "hide_name": 1, + "bits": [ 1476 ], + "attributes": { + } + }, + "$abc$20640$n3948": { + "hide_name": 1, + "bits": [ 1479 ], + "attributes": { + } + }, + "$abc$20640$n4067": { + "hide_name": 1, + "bits": [ 1492 ], + "attributes": { + } + }, + "$abc$20640$n4072": { + "hide_name": 1, + "bits": [ 1524 ], + "attributes": { + } + }, + "$abc$20640$n4092": { + "hide_name": 1, + "bits": [ 1525 ], + "attributes": { + } + }, + "$abc$20640$n4095": { + "hide_name": 1, + "bits": [ 1526 ], + "attributes": { + } + }, + "$abc$20640$n4098": { + "hide_name": 1, + "bits": [ 1527 ], + "attributes": { + } + }, + "$abc$20640$n4113": { + "hide_name": 1, + "bits": [ 1330 ], + "attributes": { + } + }, + "$abc$20640$n4114": { + "hide_name": 1, + "bits": [ 1324 ], + "attributes": { + } + }, + "$abc$20640$n4115": { + "hide_name": 1, + "bits": [ 1329 ], + "attributes": { + } + }, + "$abc$20640$n4116": { + "hide_name": 1, + "bits": [ 1337 ], + "attributes": { + } + }, + "$abc$20640$n4117": { + "hide_name": 1, + "bits": [ 1331 ], + "attributes": { + } + }, + "$abc$20640$n4118": { + "hide_name": 1, + "bits": [ 1336 ], + "attributes": { + } + }, + "$abc$20640$n4119": { + "hide_name": 1, + "bits": [ 1344 ], + "attributes": { + } + }, + "$abc$20640$n4120": { + "hide_name": 1, + "bits": [ 1338 ], + "attributes": { + } + }, + "$abc$20640$n4121": { + "hide_name": 1, + "bits": [ 1343 ], + "attributes": { + } + }, + "$abc$20640$n4122": { + "hide_name": 1, + "bits": [ 1322 ], + "attributes": { + } + }, + "$abc$20640$n4123": { + "hide_name": 1, + "bits": [ 1313 ], + "attributes": { + } + }, + "$abc$20640$n4124": { + "hide_name": 1, + "bits": [ 1321 ], + "attributes": { + } + }, + "$abc$20640$n436": { + "hide_name": 1, + "bits": [ 1318 ], + "attributes": { + } + }, + "$abc$20640$n437": { + "hide_name": 1, + "bits": [ 1314 ], + "attributes": { + } + }, + "$abc$20640$n439": { + "hide_name": 1, + "bits": [ 1319 ], + "attributes": { + } + }, + "$abc$20640$n716": { + "hide_name": 1, + "bits": [ 254 ], + "attributes": { + } + }, + "$abc$20640$n755": { + "hide_name": 1, + "bits": [ 21 ], + "attributes": { + } + }, + "$abc$20640$n756": { + "hide_name": 1, + "bits": [ 29 ], + "attributes": { + } + }, + "$abc$20640$n757": { + "hide_name": 1, + "bits": [ 33 ], + "attributes": { + } + }, + "$abc$20640$n758": { + "hide_name": 1, + "bits": [ 34 ], + "attributes": { + } + }, + "$abc$20640$n759": { + "hide_name": 1, + "bits": [ 35 ], + "attributes": { + } + }, + "$abc$20640$n760": { + "hide_name": 1, + "bits": [ 36 ], + "attributes": { + } + }, + "$abc$20640$n761": { + "hide_name": 1, + "bits": [ 45 ], + "attributes": { + } + }, + "$abc$20640$n762": { + "hide_name": 1, + "bits": [ 50 ], + "attributes": { + } + }, + "$abc$20640$n763": { + "hide_name": 1, + "bits": [ 53 ], + "attributes": { + } + }, + "$abc$20640$n764": { + "hide_name": 1, + "bits": [ 26 ], + "attributes": { + } + }, + "$abc$20640$n765": { + "hide_name": 1, + "bits": [ 85 ], + "attributes": { + } + }, + "$abc$20640$n766": { + "hide_name": 1, + "bits": [ 84 ], + "attributes": { + } + }, + "$abc$20640$n767": { + "hide_name": 1, + "bits": [ 27 ], + "attributes": { + } + }, + "$abc$20640$n768": { + "hide_name": 1, + "bits": [ 92 ], + "attributes": { + } + }, + "$abc$20640$n769": { + "hide_name": 1, + "bits": [ 93 ], + "attributes": { + } + }, + "$abc$20640$n770": { + "hide_name": 1, + "bits": [ 28 ], + "attributes": { + } + }, + "$abc$20640$n771": { + "hide_name": 1, + "bits": [ 96 ], + "attributes": { + } + }, + "$abc$20640$n772": { + "hide_name": 1, + "bits": [ 18 ], + "attributes": { + } + }, + "$abc$20640$n773": { + "hide_name": 1, + "bits": [ 99 ], + "attributes": { + } + }, + "$abc$20640$n774": { + "hide_name": 1, + "bits": [ 120 ], + "attributes": { + } + }, + "$abc$20640$n775": { + "hide_name": 1, + "bits": [ 103 ], + "attributes": { + } + }, + "$abc$20640$n776": { + "hide_name": 1, + "bits": [ 101 ], + "attributes": { + } + }, + "$abc$20640$n777": { + "hide_name": 1, + "bits": [ 100 ], + "attributes": { + } + }, + "$abc$20640$n778": { + "hide_name": 1, + "bits": [ 102 ], + "attributes": { + } + }, + "$abc$20640$n779": { + "hide_name": 1, + "bits": [ 98 ], + "attributes": { + } + }, + "$abc$20640$n780": { + "hide_name": 1, + "bits": [ 97 ], + "attributes": { + } + }, + "$abc$20640$n781": { + "hide_name": 1, + "bits": [ 20 ], + "attributes": { + } + }, + "$abc$20640$n782": { + "hide_name": 1, + "bits": [ 150 ], + "attributes": { + } + }, + "$abc$20640$n783": { + "hide_name": 1, + "bits": [ 151 ], + "attributes": { + } + }, + "$abc$20640$n784": { + "hide_name": 1, + "bits": [ 19 ], + "attributes": { + } + }, + "$abc$20640$n785": { + "hide_name": 1, + "bits": [ 160 ], + "attributes": { + } + }, + "$abc$20640$n787": { + "hide_name": 1, + "bits": [ 165 ], + "attributes": { + } + }, + "$abc$20640$n788": { + "hide_name": 1, + "bits": [ 168 ], + "attributes": { + } + }, + "$abc$20640$n789": { + "hide_name": 1, + "bits": [ 162 ], + "attributes": { + } + }, + "$abc$20640$n790": { + "hide_name": 1, + "bits": [ 169 ], + "attributes": { + } + }, + "$abc$20640$n791": { + "hide_name": 1, + "bits": [ 167 ], + "attributes": { + } + }, + "$abc$20640$n792": { + "hide_name": 1, + "bits": [ 161 ], + "attributes": { + } + }, + "$abc$20640$n794": { + "hide_name": 1, + "bits": [ 178 ], + "attributes": { + } + }, + "$abc$20640$n796": { + "hide_name": 1, + "bits": [ 185 ], + "attributes": { + } + }, + "$abc$20640$n797": { + "hide_name": 1, + "bits": [ 261 ], + "attributes": { + } + }, + "$abc$20640$n797_1": { + "hide_name": 1, + "bits": [ 182 ], + "attributes": { + } + }, + "$abc$20640$n799": { + "hide_name": 1, + "bits": [ 189 ], + "attributes": { + } + }, + "$abc$20640$n800": { + "hide_name": 1, + "bits": [ 191 ], + "attributes": { + } + }, + "$abc$20640$n801": { + "hide_name": 1, + "bits": [ 192 ], + "attributes": { + } + }, + "$abc$20640$n802": { + "hide_name": 1, + "bits": [ 187 ], + "attributes": { + } + }, + "$abc$20640$n803": { + "hide_name": 1, + "bits": [ 188 ], + "attributes": { + } + }, + "$abc$20640$n805": { + "hide_name": 1, + "bits": [ 197 ], + "attributes": { + } + }, + "$abc$20640$n806": { + "hide_name": 1, + "bits": [ 200 ], + "attributes": { + } + }, + "$abc$20640$n807": { + "hide_name": 1, + "bits": [ 207 ], + "attributes": { + } + }, + "$abc$20640$n808": { + "hide_name": 1, + "bits": [ 206 ], + "attributes": { + } + }, + "$abc$20640$n809": { + "hide_name": 1, + "bits": [ 202 ], + "attributes": { + } + }, + "$abc$20640$n810": { + "hide_name": 1, + "bits": [ 210 ], + "attributes": { + } + }, + "$abc$20640$n811": { + "hide_name": 1, + "bits": [ 199 ], + "attributes": { + } + }, + "$abc$20640$n812": { + "hide_name": 1, + "bits": [ 201 ], + "attributes": { + } + }, + "$abc$20640$n813": { + "hide_name": 1, + "bits": [ 212 ], + "attributes": { + } + }, + "$abc$20640$n814": { + "hide_name": 1, + "bits": [ 211 ], + "attributes": { + } + }, + "$abc$20640$n815": { + "hide_name": 1, + "bits": [ 205 ], + "attributes": { + } + }, + "$abc$20640$n816": { + "hide_name": 1, + "bits": [ 193 ], + "attributes": { + } + }, + "$abc$20640$n817": { + "hide_name": 1, + "bits": [ 214 ], + "attributes": { + } + }, + "$abc$20640$n818": { + "hide_name": 1, + "bits": [ 217 ], + "attributes": { + } + }, + "$abc$20640$n819": { + "hide_name": 1, + "bits": [ 213 ], + "attributes": { + } + }, + "$abc$20640$n820": { + "hide_name": 1, + "bits": [ 194 ], + "attributes": { + } + }, + "$abc$20640$n821": { + "hide_name": 1, + "bits": [ 218 ], + "attributes": { + } + }, + "$abc$20640$n822": { + "hide_name": 1, + "bits": [ 224 ], + "attributes": { + } + }, + "$abc$20640$n823": { + "hide_name": 1, + "bits": [ 219 ], + "attributes": { + } + }, + "$abc$20640$n824": { + "hide_name": 1, + "bits": [ 223 ], + "attributes": { + } + }, + "$abc$20640$n825": { + "hide_name": 1, + "bits": [ 231 ], + "attributes": { + } + }, + "$abc$20640$n826": { + "hide_name": 1, + "bits": [ 220 ], + "attributes": { + } + }, + "$abc$20640$n828": { + "hide_name": 1, + "bits": [ 236 ], + "attributes": { + } + }, + "$abc$20640$n829": { + "hide_name": 1, + "bits": [ 237 ], + "attributes": { + } + }, + "$abc$20640$n831": { + "hide_name": 1, + "bits": [ 242 ], + "attributes": { + } + }, + "$abc$20640$n833": { + "hide_name": 1, + "bits": [ 244 ], + "attributes": { + } + }, + "$abc$20640$n834": { + "hide_name": 1, + "bits": [ 262 ], + "attributes": { + } + }, + "$abc$20640$n836": { + "hide_name": 1, + "bits": [ 253 ], + "attributes": { + } + }, + "$abc$20640$n84": { + "hide_name": 1, + "bits": [ 25 ], + "attributes": { + } + }, + "$abc$20640$n841": { + "hide_name": 1, + "bits": [ 266 ], + "attributes": { + } + }, + "$abc$20640$n842": { + "hide_name": 1, + "bits": [ 270 ], + "attributes": { + } + }, + "$abc$20640$n843": { + "hide_name": 1, + "bits": [ 272 ], + "attributes": { + } + }, + "$abc$20640$n844": { + "hide_name": 1, + "bits": [ 265 ], + "attributes": { + } + }, + "$abc$20640$n845": { + "hide_name": 1, + "bits": [ 271 ], + "attributes": { + } + }, + "$abc$20640$n846": { + "hide_name": 1, + "bits": [ 264 ], + "attributes": { + } + }, + "$abc$20640$n848": { + "hide_name": 1, + "bits": [ 273 ], + "attributes": { + } + }, + "$abc$20640$n850": { + "hide_name": 1, + "bits": [ 277 ], + "attributes": { + } + }, + "$abc$20640$n852": { + "hide_name": 1, + "bits": [ 281 ], + "attributes": { + } + }, + "$abc$20640$n854": { + "hide_name": 1, + "bits": [ 286 ], + "attributes": { + } + }, + "$abc$20640$n855": { + "hide_name": 1, + "bits": [ 290 ], + "attributes": { + } + }, + "$abc$20640$n856": { + "hide_name": 1, + "bits": [ 285 ], + "attributes": { + } + }, + "$abc$20640$n858": { + "hide_name": 1, + "bits": [ 291 ], + "attributes": { + } + }, + "$abc$20640$n860": { + "hide_name": 1, + "bits": [ 295 ], + "attributes": { + } + }, + "$abc$20640$n862": { + "hide_name": 1, + "bits": [ 299 ], + "attributes": { + } + }, + "$abc$20640$n864": { + "hide_name": 1, + "bits": [ 304 ], + "attributes": { + } + }, + "$abc$20640$n865": { + "hide_name": 1, + "bits": [ 308 ], + "attributes": { + } + }, + "$abc$20640$n866": { + "hide_name": 1, + "bits": [ 303 ], + "attributes": { + } + }, + "$abc$20640$n868": { + "hide_name": 1, + "bits": [ 309 ], + "attributes": { + } + }, + "$abc$20640$n870": { + "hide_name": 1, + "bits": [ 314 ], + "attributes": { + } + }, + "$abc$20640$n871": { + "hide_name": 1, + "bits": [ 318 ], + "attributes": { + } + }, + "$abc$20640$n872": { + "hide_name": 1, + "bits": [ 313 ], + "attributes": { + } + }, + "$abc$20640$n874": { + "hide_name": 1, + "bits": [ 319 ], + "attributes": { + } + }, + "$abc$20640$n876": { + "hide_name": 1, + "bits": [ 323 ], + "attributes": { + } + }, + "$abc$20640$n878": { + "hide_name": 1, + "bits": [ 327 ], + "attributes": { + } + }, + "$abc$20640$n880": { + "hide_name": 1, + "bits": [ 331 ], + "attributes": { + } + }, + "$abc$20640$n882": { + "hide_name": 1, + "bits": [ 335 ], + "attributes": { + } + }, + "$abc$20640$n889": { + "hide_name": 1, + "bits": [ 345 ], + "attributes": { + } + }, + "$abc$20640$n922": { + "hide_name": 1, + "bits": [ 378 ], + "attributes": { + } + }, + "$abc$20640$n957": { + "hide_name": 1, + "bits": [ 413 ], + "attributes": { + } + }, + "$abc$20640$n958": { + "hide_name": 1, + "bits": [ 415 ], + "attributes": { + } + }, + "$abc$20640$n96": { + "hide_name": 1, + "bits": [ 166 ], + "attributes": { + } + }, + "$abc$20640$n960": { + "hide_name": 1, + "bits": [ 418 ], + "attributes": { + } + }, + "$abc$20640$n993": { + "hide_name": 1, + "bits": [ 451 ], + "attributes": { + } + }, + "$auto$alumacc.cc:474:replace_alu$8669.C": { + "hide_name": 1, + "bits": [ 1617, 1618, 1560, 1619 ], + "attributes": { + "src": "saturn_core.v:1185|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$auto$alumacc.cc:474:replace_alu$8669.FCO": { + "hide_name": 1, + "bits": [ 1620, 1621, 1561, 1622 ], + "attributes": { + "src": "saturn_core.v:1185|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$8223.C": { + "$auto$alumacc.cc:474:replace_alu$8669.Y1": { "hide_name": 1, - "bits": [ 1419, 1420, 1352, 1421, 1355, 1422, 1358, 1423 ], + "bits": [ 1623, 1624, 1562, 1625 ], "attributes": { - "src": "saturn_core.v:1100|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + "src": "saturn_core.v:1185|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$8223.FCO": { + "$auto$alumacc.cc:474:replace_alu$8672.C": { "hide_name": 1, - "bits": [ 1424, 1425, 1426, 1427, 1428, 1429, 1361, 1430 ], + "bits": [ 1626, 1627, 1563, 1628 ], "attributes": { - "src": "saturn_core.v:1100|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "src": "saturn_core.v:1199|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$auto$alumacc.cc:474:replace_alu$8672.FCO": { + "hide_name": 1, + "bits": [ 1629, 1630, 1564, 1631 ], + "attributes": { + "src": "saturn_core.v:1199|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "unused_bits": "0 1 2 3" + } + }, + "$auto$alumacc.cc:474:replace_alu$8675.C": { + "hide_name": 1, + "bits": [ 1632, 1633, 1565, 1634, 1568, 1635, 1571, 1636 ], + "attributes": { + "src": "saturn_core.v:1295|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$auto$alumacc.cc:474:replace_alu$8675.FCO": { + "hide_name": 1, + "bits": [ 1637, 1638, 1639, 1640, 1641, 1642, 1574, 1643 ], + "attributes": { + "src": "saturn_core.v:1295|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3 4 5 6 7" } }, - "$auto$alumacc.cc:474:replace_alu$8226.C": { + "$auto$alumacc.cc:474:replace_alu$8678.C": { "hide_name": 1, - "bits": [ 1431, 1432, 1364, 1433, 1369, 1434, 1370, 1435, 1371, 1436, 1365, 1437, 1366, 1438, 1367, 1439, 1368, 1440, 1441, 1442 ], + "bits": [ 1644, 1645, 1577, 1646, 1582, 1647, 1583, 1648, 1584, 1649, 1578, 1650, 1579, 1651, 1580, 1652, 1581, 1653, 1654, 1655 ], "attributes": { - "src": "saturn_core.v:315|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + "src": "saturn_core.v:471|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$8229.C": { + "$auto$alumacc.cc:474:replace_alu$8687.C": { "hide_name": 1, - "bits": [ 1443, 1444, 1372, 1445, 1377, 1446, 1378, 1447, 1379, 1448, 1373, 1449, 1374, 1450, 1375, 1451, 1376, 1452, 1453, 1454 ], + "bits": [ 1656, 1657, 1585, 1658, 1590, 1659, 1591, 1660, 1592, 1661, 1586, 1662, 1587, 1663, 1588, 1664, 1589, 1665, 1666, 1667 ], "attributes": { - "src": "saturn_core.v:748|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + "src": "saturn_core.v:943|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$8232.C": { + "$auto$alumacc.cc:474:replace_alu$8690.C": { "hide_name": 1, - "bits": [ 1455, 1456, 1380, 1457 ], + "bits": [ 1668, 1669, 1593, 1670 ], "attributes": { - "src": "saturn_core.v:990|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + "src": "saturn_core.v:556|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$8232.FCO": { + "$auto$alumacc.cc:474:replace_alu$8690.FCO": { "hide_name": 1, - "bits": [ 1458, 1459, 1381, 1460 ], + "bits": [ 1671, 1672, 1594, 1673 ], "attributes": { - "src": "saturn_core.v:990|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "src": "saturn_core.v:556|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$8232.Y1": { + "$auto$alumacc.cc:474:replace_alu$8690.Y1": { "hide_name": 1, - "bits": [ 1461, 1462, 1382, 1463 ], + "bits": [ 1674, 1675, 1595, 1676 ], "attributes": { - "src": "saturn_core.v:990|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "src": "saturn_core.v:556|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$8235.C": { - "hide_name": 1, - "bits": [ 1464, 1465, 1383, 1466 ], + "D0": { + "hide_name": 0, + "bits": [ 501, 497, 492, 503, 499, 495, 490, 487, 485, 483, 481, 478, 476, 474, 472, 468, 1677, 1678, 1679, 1680 ], "attributes": { - "src": "saturn_core.v:396|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" - } - }, - "$auto$alumacc.cc:474:replace_alu$8235.FCO": { - "hide_name": 1, - "bits": [ 1467, 1468, 1384, 1469 ], - "attributes": { - "src": "saturn_core.v:396|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", - "unused_bits": "0 1 2 3" - } - }, - "$auto$alumacc.cc:474:replace_alu$8235.Y1": { - "hide_name": 1, - "bits": [ 1470, 1471, 1385, 1472 ], - "attributes": { - "src": "saturn_core.v:396|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", - "unused_bits": "0 1 2 3" + "src": "saturn_core.v:324" } }, "PC": { "hide_name": 0, - "bits": [ 261, 253, 244, 265, 257, 249, 239, 234, 229, 225, 221, 216, 211, 207, 203, 197, 1473, 1474, 1475, 1476 ], + "bits": [ 334, 326, 317, 338, 330, 322, 312, 307, 302, 298, 294, 289, 284, 280, 276, 269, 1681, 1682, 1683, 1684 ], "attributes": { - "src": "saturn_core.v:185" + "src": "saturn_core.v:318" } }, "RSTK[0]": { "hide_name": 0, - "bits": [ 320, 346, 386, 425, 448, 488, 527, 550, 590, 629, 652, 676, 700, 740, 763, 803, 1477, 1478, 1479, 1480 ], + "bits": [ 544, 582, 620, 658, 696, 734, 772, 810, 848, 886, 924, 962, 1000, 1038, 1076, 1114, 1685, 1686, 1687, 1688 ], "attributes": { } }, "RSTK[1]": { "hide_name": 0, - "bits": [ 319, 339, 385, 424, 442, 487, 526, 544, 589, 628, 646, 670, 694, 739, 757, 802, 1481, 1482, 1483, 1484 ], + "bits": [ 537, 575, 613, 651, 689, 727, 765, 803, 841, 879, 917, 955, 993, 1031, 1069, 1107, 1689, 1690, 1691, 1692 ], "attributes": { } }, "RSTK[2]": { "hide_name": 0, - "bits": [ 322, 349, 387, 426, 451, 489, 528, 553, 591, 630, 655, 679, 703, 741, 766, 804, 1485, 1486, 1487, 1488 ], + "bits": [ 538, 576, 614, 652, 690, 728, 766, 804, 842, 880, 914, 956, 994, 1032, 1066, 1108, 1693, 1694, 1695, 1696 ], "attributes": { } }, "RSTK[3]": { "hide_name": 0, - "bits": [ 317, 336, 381, 420, 439, 483, 522, 541, 585, 624, 643, 667, 691, 735, 754, 798, 1489, 1490, 1491, 1492 ], + "bits": [ 532, 570, 608, 646, 684, 722, 760, 798, 836, 874, 913, 950, 988, 1026, 1065, 1102, 1697, 1698, 1699, 1700 ], "attributes": { } }, "RSTK[4]": { "hide_name": 0, - "bits": [ 326, 340, 391, 430, 443, 493, 532, 545, 595, 634, 647, 671, 695, 745, 758, 808, 1493, 1494, 1495, 1496 ], + "bits": [ 540, 572, 616, 654, 692, 724, 768, 806, 844, 876, 920, 958, 989, 1027, 1072, 1110, 1701, 1702, 1703, 1704 ], "attributes": { } }, "RSTK[5]": { "hide_name": 0, - "bits": [ 315, 348, 380, 419, 450, 482, 521, 552, 584, 623, 654, 678, 702, 734, 765, 797, 1497, 1498, 1499, 1500 ], + "bits": [ 533, 577, 609, 647, 685, 729, 761, 799, 837, 881, 918, 951, 995, 1033, 1070, 1103, 1705, 1706, 1707, 1708 ], "attributes": { } }, "RSTK[6]": { "hide_name": 0, - "bits": [ 313, 347, 378, 417, 449, 480, 519, 551, 582, 621, 653, 677, 701, 732, 764, 795, 1501, 1502, 1503, 1504 ], + "bits": [ 539, 578, 615, 653, 691, 730, 767, 805, 843, 882, 912, 957, 996, 1034, 1064, 1109, 1709, 1710, 1711, 1712 ], "attributes": { } }, "RSTK[7]": { "hide_name": 0, - "bits": [ 318, 338, 379, 418, 441, 481, 520, 543, 583, 622, 645, 669, 693, 733, 756, 796, 1505, 1506, 1507, 1508 ], + "bits": [ 534, 571, 610, 648, 686, 723, 762, 800, 838, 875, 919, 952, 990, 1028, 1071, 1104, 1713, 1714, 1715, 1716 ], "attributes": { } }, @@ -58031,175 +63785,210 @@ "hide_name": 0, "bits": [ 3, 4, 5, 6, 7, 8, 9 ], "attributes": { - "src": "saturn_core.v:57" + "src": "saturn_core.v:180" + } + }, + "bus_address": { + "hide_name": 0, + "bits": [ 1252, 1255, 1258, 1261, 1264, 1267, 1270, 1273, 1276, 1279, 1282, 1285, 1288, 1291, 260, 259, 1717, 1718, 1719, 1720 ], + "attributes": { + "src": "saturn_core.v:291" } }, "calc_rom.address": { "hide_name": 0, - "bits": [ 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 184, 183, 1509, 1510, 1511, 1512 ], + "bits": [ 1252, 1255, 1258, 1261, 1264, 1267, 1270, 1273, 1276, 1279, 1282, 1285, 1288, 1291, 260, 259, 1717, 1718, 1719, 1720 ], "attributes": { - "src": "saturn_core.v:205|saturn_core.v:13" + "src": "saturn_core.v:338|saturn_core.v:17" } }, "calc_rom.clk": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "saturn_core.v:205|saturn_core.v:12" + "src": "saturn_core.v:338|saturn_core.v:16" } }, "calc_rom.enable": { "hide_name": 0, - "bits": [ 188 ], + "bits": [ 340 ], "attributes": { - "src": "saturn_core.v:205|saturn_core.v:14" + "src": "saturn_core.v:338|saturn_core.v:18" } }, "calc_rom.nibble_out": { "hide_name": 0, - "bits": [ 1207, 1200, 1193, 1184 ], + "bits": [ 1327, 1334, 1341, 1317 ], "attributes": { - "src": "saturn_core.v:205|saturn_core.v:15" + "src": "saturn_core.v:338|saturn_core.v:19" } }, "clk": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "saturn_core.v:61" + "src": "saturn_core.v:184" } }, "clk_25mhz": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "saturn_core.v:56" + "src": "saturn_core.v:179" } }, "decstate": { "hide_name": 0, - "bits": [ 51, 107, 115, 112, 37, 85, 34, 35, 93, 86, 96, 54, 53, 97, 87, 52, 73, 99, 63, 98, 40, 58, 88, 55, 64 ], + "bits": [ 83, 82, 81, 78, 64, 69, 55, 54, 72, 70, 71, 68, 67, 76, 65, 61, 80, 79, 75, 74, 56, 77, 66, 117, 73 ], "attributes": { "onehot": 1 } }, "hex_dec": { "hide_name": 0, - "bits": [ 1386 ], + "bits": [ 1596 ], "attributes": { - "src": "saturn_core.v:173" + "src": "saturn_core.v:306" + } + }, + "io_ram.address": { + "hide_name": 0, + "bits": [ 1252, 1255, 1258, 1261, 1264, 1267, 1270, 1273, 1276, 1279, 1282, 1285, 1288, 1291, 260, 259, 1717, 1718, 1719, 1720 ], + "attributes": { + "src": "saturn_core.v:345|saturn_core.v:59" + } + }, + "io_ram.clk": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "saturn_core.v:345|saturn_core.v:57" + } + }, + "io_ram.nibble_out": { + "hide_name": 0, + "bits": [ 1327, 1334, 1341, 1317 ], + "attributes": { + "src": "saturn_core.v:345|saturn_core.v:62" + } + }, + "io_ram.reset": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "saturn_core.v:345|saturn_core.v:58" } }, "jump_base": { "hide_name": 0, - "bits": [ 260, 252, 243, 264, 256, 248, 238, 233, 228, 224, 220, 215, 210, 206, 202, 196, 1513, 1514, 1515, 1516 ], + "bits": [ 333, 325, 316, 337, 329, 321, 311, 306, 301, 297, 293, 288, 283, 279, 275, 268, 1721, 1722, 1723, 1724 ], "attributes": { - "src": "saturn_core.v:171" + "src": "saturn_core.v:304" } }, "jump_offset": { "hide_name": 0, - "bits": [ 1320, 1324, 1328, 1318, 1322, 1326, 1330, 1332, 1334, 1336, 1338, 1340, 1342, 1344, 1346, 1348, 1517, 1518, 1519, 1520 ], + "bits": [ 1552, 1546, 1548, 1554, 1550, 1544, 1540, 1536, 1532, 1530, 1534, 1542, 1558, 1556, 1528, 1538, 1725, 1726, 1727, 1728 ], "attributes": { - "src": "saturn_core.v:172" + "src": "saturn_core.v:305" } }, "led": { "hide_name": 0, "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { - "src": "saturn_core.v:59" + "src": "saturn_core.v:182" } }, "nibble": { "hide_name": 0, - "bits": [ 41, 42, 43, 44 ], + "bits": [ 171, 170, 173, 172 ], "attributes": { - "src": "saturn_core.v:168" + "src": "saturn_core.v:301" + } + }, + "nibble_out": { + "hide_name": 0, + "bits": [ 1327, 1334, 1341, 1317 ], + "attributes": { + "src": "saturn_core.v:294" } }, "regdump": { "hide_name": 0, - "bits": [ 179, 180, 181, 182, 171, 172, 173, 174 ], + "bits": [ 255, 256, 257, 258, 247, 248, 249, 250 ], "attributes": { - "src": "saturn_core.v:159" + "src": "saturn_core.v:288" } }, "reset": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "saturn_core.v:62" - } - }, - "rom_address": { - "hide_name": 0, - "bits": [ 1387, 1388, 1389, 1390, 1391, 1392, 1393, 1394, 1395, 1396, 1397, 1398, 1399, 1400, 184, 183, 1509, 1510, 1511, 1512 ], - "attributes": { - "src": "saturn_core.v:163" + "src": "saturn_core.v:185" } }, "rom_enable": { "hide_name": 0, - "bits": [ 188 ], + "bits": [ 340 ], "attributes": { - "src": "saturn_core.v:164" - } - }, - "rom_nibble": { - "hide_name": 0, - "bits": [ 1207, 1200, 1193, 1184 ], - "attributes": { - "src": "saturn_core.v:165" + "src": "saturn_core.v:298" } }, "rstk_ptr": { "hide_name": 0, - "bits": [ 166, 164, 165 ], + "bits": [ 239, 240, 241 ], "attributes": { - "src": "saturn_core.v:170" + "src": "saturn_core.v:303" } }, "runstate": { "hide_name": 0, - "bits": [ 145, 33, 142, 46, 141, 140, 139, 36 ], + "bits": [ 123, 142, 24, 124, 112, 133, 122, 149, 121, 32 ], "attributes": { "onehot": 1 } }, "t_cnt": { "hide_name": 0, - "bits": [ 66, 68, 70, 72 ], + "bits": [ 130, 132, 126, 128 ], "attributes": { - "src": "saturn_core.v:177" + "src": "saturn_core.v:310" } }, "t_ctr": { "hide_name": 0, - "bits": [ 65, 67, 69, 71 ], + "bits": [ 129, 131, 125, 127 ], "attributes": { - "src": "saturn_core.v:178" + "src": "saturn_core.v:311" } }, "t_dir": { "hide_name": 0, - "bits": [ 1277 ], + "bits": [ 1515 ], "attributes": { - "src": "saturn_core.v:179" + "src": "saturn_core.v:312" } }, "t_field": { "hide_name": 0, - "bits": [ 813, 815, 817, 819 ], + "bits": [ 1116, 1122, 1124, 1126 ], "attributes": { - "src": "saturn_core.v:182" + "src": "saturn_core.v:315" + } + }, + "t_ptr": { + "hide_name": 0, + "bits": [ 1248 ], + "attributes": { + "src": "saturn_core.v:313" } }, "wifi_gpio0": { "hide_name": 0, "bits": [ "1" ], "attributes": { - "src": "saturn_core.v:58" + "src": "saturn_core.v:181" } } } diff --git a/saturn_core.v b/saturn_core.v index 1f4c179..5be8599 100644 --- a/saturn_core.v +++ b/saturn_core.v @@ -49,15 +49,19 @@ endmodule */ `define BUSCMD_NOP 0 `define BUSCMD_DP_WRITE 5 +`define BUSCMD_LOAD_DP 7 `define BUSCMD_CONFIGURE 8 module hp48_io_ram ( input clk, + input reset, input [19:0] address, input [3:0] command, input [3:0] nibble_in, - output [3:0] nibble_out + output [3:0] nibble_out, + output reg io_ram_active, + output reg io_ram_error ); localparam IO_RAM_LEN = 64; @@ -66,16 +70,34 @@ localparam IO_RAM_LEN = 64; // localparam BUSCMD_CONFIGURE = C_BUSCMD_CONFIGURE; -reg configured; +reg [0:0] configured; reg [19:0] base_addr; +reg [19:0] data_ptr; reg [3:0] io_ram [0:IO_RAM_LEN-1]; +/* + * + * + */ + initial begin `ifdef SIM - $display("io_ram: unconfigured"); + $display("io_ram: set unconfigured"); `endif configured = 0; +`ifdef SIM + $display("io_ram: reset error flag"); +`endif + io_ram_error = 0; +`ifdef SIM + $display("io_ram: setting base address to 0"); +`endif + base_addr = 0; +`ifdef SIM + $display("io_ram: setting data pointer to 0"); +`endif + data_ptr = 0; `ifdef SIM $display("io_ram: initializing to 0"); `endif @@ -88,28 +110,69 @@ initial end `ifdef SIM $display(""); - $display("io_ram: setting base address to 0"); -`endif - base_addr = 0; -`ifdef SIM $display("io_ram: initialized"); `endif end -always @(posedge clk) +/* + * + * + */ + +always @(*) case (command) - `BUSCMD_NOP: begin end // do nothing - `BUSCMD_CONFIGURE: - begin -`ifdef SIM - $display("io_ram: configure at %5h len %d", address, IO_RAM_LEN); -`endif - base_addr <= address; - end - default: - $display("io_ram: unhandled command %h", command); + `BUSCMD_DP_WRITE: + io_ram_active = ((base_addr >= data_ptr)&(data_ptr < base_addr+IO_RAM_LEN))&(configured); endcase + +always @(negedge clk) + if ((~reset)&(~io_ram_error)) + case (command) + `BUSCMD_NOP: begin end // do nothing + `BUSCMD_DP_WRITE: + begin +`ifdef SIM + $write("io_ram: DP_WRITE %5h %h | ", data_ptr, nibble_in); +`endif + // test if write can be done + if (io_ram_active) + begin + io_ram[data_ptr - base_addr] <= nibble_in; + data_ptr <= data_ptr + 1; +`ifdef SIM + $display("OK"); +`endif + end + else +`ifdef SIM + $display("NOK - IO_RAM not active (conf: %b)", configured); +`endif + end + `BUSCMD_LOAD_DP: + begin +`ifdef SIM + $display("io_ram: LOAD_DP %5h", address); +`endif + data_ptr <= address; + end + `BUSCMD_CONFIGURE: + begin +`ifdef SIM + $display("io_ram: configure at %5h len %d", address, IO_RAM_LEN); +`endif + base_addr <= address; + configured <= 1; + end + default: + begin +`ifdef SIM + $display("io_ram: unhandled command %h", command); +`endif + io_ram_error <= 1; + end + endcase + endmodule @@ -163,6 +226,7 @@ localparam READ_ROM_CLK = 2; localparam READ_ROM_STR = 3; localparam READ_ROM_VAL = 4; localparam WRITE_STA = 5; +localparam WRITE_STROBE = 6; localparam WRITE_DONE = 8; localparam RUN_EXEC = 14; localparam RUN_DECODE = 15; @@ -237,21 +301,20 @@ localparam T_FIELD_LEN = 13; localparam T_FIELD_A = 15; // state machine stuff -reg halt; -reg [3:0] runstate; -reg [15:0] decstate; -reg [7:0] regdump; +reg halt; +reg [3:0] runstate; +reg [15:0] decstate; +reg [7:0] regdump; -// memory access -//reg rom_clock; -reg [19:0] rom_address; -reg rom_enable; -wire[3:0] rom_nibble; - -// io_ram access +// bus access +reg [19:0] bus_address; reg [3:0] bus_command; reg [3:0] nibble_in; wire [3:0] nibble_out; +wire io_ram_error; + +// should go away, the rom should work like any other bus module +reg rom_enable; // internal registers reg [3:0] nibble; @@ -293,17 +356,19 @@ reg [63:0] R4; hp_rom calc_rom ( .clk (clk), - .address (rom_address), + .address (bus_address), .enable (rom_enable), - .nibble_out (rom_nibble) + .nibble_out (nibble_out) ); hp48_io_ram io_ram ( - .clk (clk), - .address (rom_address), - .command (bus_command), - .nibble_in (nibble_in), - .nibble_out (nibble_out) + .clk (clk), + .reset (reset), + .address (bus_address), + .command (bus_command), + .nibble_in (nibble_in), + .nibble_out (nibble_out), + .io_ram_error (io_ram_error) ); /************************************************************************************************** * @@ -366,6 +431,11 @@ begin if (runstate == RUN_START) runstate <= READ_ROM_STA; + if (io_ram_error) + begin + halt <= 1; + end + //-------------------------------------------------------------------------------------------------- // // REGISTER UTILITIES @@ -399,7 +469,7 @@ begin begin //$display("READ_ROM_STA"); rom_enable <= 1'b1; - rom_address <= PC; + bus_address <= PC; runstate <= READ_ROM_CLK; end @@ -415,8 +485,8 @@ begin if (runstate == READ_ROM_STR) begin //$display("READ_ROM_STR"); - nibble <= rom_nibble; - //$display("PC: %h | read => %h", PC, rom_nibble); + nibble <= nibble_out; + //$display("PC: %h | read => %h", PC, nibble_out); PC <= PC + 1; rom_enable <= 1'b0; // rom_clock <= 1'b0; @@ -583,14 +653,14 @@ begin * ---------- field ----------- * A B fs d * ---------------------------- - * 140 148 150a 158x DAT0=A field - * 141 149 151a 159x DAT1=A field - * 142 14A 152a 15Ax A=DAT0 field - * 143 14B 153a 15Bx A=DAT1 field - * 144 14C 154a 15Cx DAT0=C field - * 145 14D 155a 15Dx DAT1=C field - * 146 14E 156a 15Ex C=DAT0 field - * 147 14F 157a 15Fx C=DAT1 field + * 140 148 150a 158x DAT0=A field 0000 1000 + * 141 149 151a 159x DAT1=A field 0001 1001 + * 142 14A 152a 15Ax A=DAT0 field 0010 1010 + * 143 14B 153a 15Bx A=DAT1 field 0011 1011 + * 144 14C 154a 15Cx DAT0=C field 0100 1100 + * 145 14D 155a 15Dx DAT1=C field 0101 1101 + * 146 14E 156a 15Ex C=DAT0 field 0110 1110 + * 147 14F 157a 15Fx C=DAT1 field 0111 1111 * * fs: P WP XS X S M B W * a: 0 1 2 3 4 5 6 7 @@ -671,7 +741,41 @@ begin endcase `endif end - + WRITE_STA: + begin +`ifdef SIM + $display("WRITE_STA | ptr %s | dir %s | reg %s | field %h | off %h | ctr %h | cnt %h", + t_ptr?"D1":"D0", t_dir?"IN":"OUT", t_reg?"C":"A", t_field, t_field, t_offset, t_ctr, t_cnt); +`endif + bus_command <= `BUSCMD_LOAD_DP; + bus_address <= (~t_ptr)?D0:D1; + runstate <= WRITE_STROBE; + end + WRITE_STROBE: + begin +`ifdef SIM + $display("WRITE_STROBE | ptr %s | dir %s | reg %s | field %h | off %h | ctr %h | cnt %h", + t_ptr?"D1":"D0", t_dir?"IN":"OUT", t_reg?"C":"A", t_field, t_offset, t_ctr, t_cnt); +`endif + bus_command <= `BUSCMD_DP_WRITE; + nibble_in <= (~t_reg)?A[t_offset*4+:4]:C[t_offset*4+:4]; + t_offset <= t_offset + 1; + t_ctr <= t_ctr + 1; + if (t_ctr == t_cnt) + begin + runstate <= WRITE_DONE; + end + end + WRITE_DONE: + begin +`ifdef SIM + $display("WRITE_DONE | ptr %s | dir %s | reg %s | field %h | off %h | ctr %h | cnt %h", + t_ptr?"D1":"D0", t_dir?"IN":"OUT", t_reg?"C":"A", t_field, t_offset, t_ctr, t_cnt); +`endif + bus_command <= `BUSCMD_NOP; + runstate <= RUN_START; + decstate <= DECODE_START; + end default: begin `ifdef SIM