diff --git a/hp48_bus.v b/hp48_bus.v index 575ebae..694f2fe 100644 --- a/hp48_bus.v +++ b/hp48_bus.v @@ -58,7 +58,7 @@ always @(*) begin bus_error = io_ram_error; if (io_ram_active) nibble_out = io_ram_nibble_out; - if (~io_ram_active) nibble_out = rom_nibble_out; + if (!io_ram_active) nibble_out = rom_nibble_out; end endmodule diff --git a/saturn_core.json b/saturn_core.json index 398ed17..54bdc1a 100644 --- a/saturn_core.json +++ b/saturn_core.json @@ -14323,15 +14323,15 @@ } }, "cells": { - "$abc$14230$auto$blifparse.cc:492:parse_blif$14231": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14150": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 51712 + "INIT": 248 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -14344,436 +14344,11 @@ "A": [ 18 ], "B": [ 19 ], "C": [ 20 ], - "D": [ 21 ], - "Z": [ 22 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14232": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 23 ], - "B": [ 24 ], - "C": [ 25 ], "D": [ "0" ], "Z": [ 21 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14233": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 26 ], - "B": [ 27 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 28 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14234": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 29 ], - "B": [ 30 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 31 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14235": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 32 ], - "B": [ 33 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 34 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14236": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 35 ], - "B": [ 36 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 37 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14237": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 38 ], - "B": [ 39 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 40 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14238": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 41 ], - "B": [ 42 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 43 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14239": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 44 ], - "B": [ 45 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 46 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14240": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 47 ], - "B": [ 48 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 49 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14241": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 50 ], - "B": [ 51 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 52 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14242": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 53 ], - "B": [ 54 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 55 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14243": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 56 ], - "B": [ 57 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 58 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14244": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 59 ], - "B": [ 60 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 61 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14245": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 62 ], - "B": [ 63 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 64 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14246": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 65 ], - "B": [ 66 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 67 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14247": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 68 ], - "B": [ 69 ], - "C": [ 20 ], - "D": [ 21 ], - "Z": [ 70 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14248": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 71 ], - "B": [ 72 ], - "C": [ 73 ], - "D": [ "0" ], - "Z": [ 74 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14249": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14151": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -14791,14 +14366,14 @@ "Z": "output" }, "connections": { - "A": [ 75 ], - "B": [ 76 ], + "A": [ 22 ], + "B": [ 23 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 71 ] + "Z": [ 20 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14250": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14152": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -14816,14 +14391,14 @@ "Z": "output" }, "connections": { - "A": [ 77 ], - "B": [ 78 ], + "A": [ 24 ], + "B": [ 25 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 75 ] + "Z": [ 22 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -14841,14 +14416,14 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 82 ], - "Z": [ 83 ] + "A": [ 26 ], + "B": [ 27 ], + "C": [ 28 ], + "D": [ 29 ], + "Z": [ 30 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -14866,14 +14441,14 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 82 ], - "Z": [ 84 ] + "A": [ 26 ], + "B": [ 27 ], + "C": [ 28 ], + "D": [ 29 ], + "Z": [ 31 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.lut2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -14891,14 +14466,14 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 82 ], - "Z": [ 85 ] + "A": [ 26 ], + "B": [ 27 ], + "C": [ 28 ], + "D": [ 29 ], + "Z": [ 32 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.lut3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -14916,14 +14491,14 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 82 ], - "Z": [ 86 ] + "A": [ 26 ], + "B": [ 27 ], + "C": [ 28 ], + "D": [ 29 ], + "Z": [ 33 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.mux50": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -14939,13 +14514,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 84 ], - "BLUT": [ 83 ], - "C0": [ 87 ], - "Z": [ 88 ] + "ALUT": [ 31 ], + "BLUT": [ 30 ], + "C0": [ 34 ], + "Z": [ 35 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.mux51": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -14961,13 +14536,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 86 ], - "BLUT": [ 85 ], - "C0": [ 87 ], - "Z": [ 89 ] + "ALUT": [ 33 ], + "BLUT": [ 32 ], + "C0": [ 34 ], + "Z": [ 36 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.mux6": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.mux6": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -14983,17 +14558,142 @@ "Z": "output" }, "connections": { - "D0": [ 88 ], - "D1": [ 89 ], - "SD": [ 90 ], - "Z": [ 76 ] + "D0": [ 35 ], + "D1": [ 36 ], + "SD": [ 37 ], + "Z": [ 23 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14252.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14154": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 4095 + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 38 ], + "B": [ 39 ], + "C": [ 40 ], + "D": [ "0" ], + "Z": [ 18 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14155": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 26 ], + "B": [ 27 ], + "C": [ 28 ], + "D": [ 37 ], + "Z": [ 38 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14156": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 29 ], + "B": [ 34 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 39 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14157": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 25 ], + "B": [ 24 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 40 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14158": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 143 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 41 ], + "C": [ 42 ], + "D": [ "0" ], + "Z": [ 43 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14159.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -15007,18 +14707,18 @@ "Z": "output" }, "connections": { - "A": [ 91 ], - "B": [ 92 ], - "C": [ 93 ], - "D": [ 82 ], - "Z": [ 94 ] + "A": [ 24 ], + "B": [ 29 ], + "C": [ 34 ], + "D": [ 44 ], + "Z": [ 45 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14252.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14159.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65297 + "INIT": 59391 }, "attributes": { "module_not_derived": 1, @@ -15032,14 +14732,14 @@ "Z": "output" }, "connections": { - "A": [ 91 ], - "B": [ 92 ], - "C": [ 93 ], - "D": [ 82 ], - "Z": [ 95 ] + "A": [ 24 ], + "B": [ 29 ], + "C": [ 34 ], + "D": [ 44 ], + "Z": [ 46 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14252.mux5": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14159.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -15055,677 +14755,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 95 ], - "BLUT": [ 94 ], - "C0": [ 87 ], - "Z": [ 72 ] + "ALUT": [ 46 ], + "BLUT": [ 45 ], + "C0": [ 25 ], + "Z": [ 42 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 96 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 97 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 98 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 99 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 97 ], - "BLUT": [ 96 ], - "C0": [ 90 ], - "Z": [ 100 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 99 ], - "BLUT": [ 98 ], - "C0": [ 90 ], - "Z": [ 101 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 100 ], - "D1": [ 101 ], - "SD": [ 78 ], - "Z": [ 93 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 102 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 103 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 104 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 105 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 103 ], - "BLUT": [ 102 ], - "C0": [ 90 ], - "Z": [ 106 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 105 ], - "BLUT": [ 104 ], - "C0": [ 90 ], - "Z": [ 107 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 106 ], - "D1": [ 107 ], - "SD": [ 78 ], - "Z": [ 92 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 108 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 109 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 110 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 111 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 109 ], - "BLUT": [ 108 ], - "C0": [ 78 ], - "Z": [ 112 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 111 ], - "BLUT": [ 110 ], - "C0": [ 78 ], - "Z": [ 113 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 112 ], - "D1": [ 113 ], - "SD": [ 77 ], - "Z": [ 91 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 114 ], - "B": [ 115 ], - "C": [ 77 ], - "D": [ 87 ], - "Z": [ 116 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 24575 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 114 ], - "B": [ 115 ], - "C": [ 77 ], - "D": [ 87 ], - "Z": [ 117 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16383 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 114 ], - "B": [ 115 ], - "C": [ 77 ], - "D": [ 87 ], - "Z": [ 118 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 62963 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 114 ], - "B": [ 115 ], - "C": [ 77 ], - "D": [ 87 ], - "Z": [ 119 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 117 ], - "BLUT": [ 116 ], - "C0": [ 78 ], - "Z": [ 120 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 119 ], - "BLUT": [ 118 ], - "C0": [ 78 ], - "Z": [ 121 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 120 ], - "D1": [ 121 ], - "SD": [ 82 ], - "Z": [ 73 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14257": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14160": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -15743,19 +14779,319 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 114 ] + "A": [ 26 ], + "B": [ 27 ], + "C": [ 28 ], + "D": [ 37 ], + "Z": [ 44 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14258": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14161": { "hide_name": 1, "type": "LUT4", "parameters": { "INIT": 1 }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 48 ], + "C": [ 49 ], + "D": [ "0" ], + "Z": [ 50 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14162": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 144 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 29 ], + "B": [ 34 ], + "C": [ 51 ], + "D": [ "0" ], + "Z": [ 47 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14163": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 44 ], + "B": [ 52 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 51 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14164": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 25 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 52 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14165": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 53 ], + "B": [ 54 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 48 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14166": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 38 ], + "B": [ 55 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 53 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14167": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 34 ], + "B": [ 29 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 55 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14168": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 25 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 54 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14169": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 22 ], + "B": [ 38 ], + "C": [ 39 ], + "D": [ "0" ], + "Z": [ 49 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14170": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], + "D": [ "0" ], + "Z": [ 57 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14171": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 51 ], + "C": [ 58 ], + "D": [ "0" ], + "Z": [ 56 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14172": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 44 ], + "B": [ 59 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 58 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14173": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, "attributes": { "module_not_derived": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" @@ -15768,14 +15104,14 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 115 ] + "A": [ 24 ], + "B": [ 29 ], + "C": [ 34 ], + "D": [ 25 ], + "Z": [ 59 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14259.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14174.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -15793,14 +15129,14 @@ "Z": "output" }, "connections": { - "A": [ 122 ], - "B": [ 123 ], - "C": [ 124 ], - "D": [ 73 ], - "Z": [ 125 ] + "A": [ 60 ], + "B": [ 61 ], + "C": [ 62 ], + "D": [ 56 ], + "Z": [ 63 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14259.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14174.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -15817,113 +15153,591 @@ "D": "input", "Z": "output" }, + "connections": { + "A": [ 60 ], + "B": [ 61 ], + "C": [ 62 ], + "D": [ 56 ], + "Z": [ 64 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14174.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 64 ], + "BLUT": [ 63 ], + "C0": [ 65 ], + "Z": [ 66 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14175": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3003 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 42 ], + "B": [ 61 ], + "C": [ 20 ], + "D": [ 67 ], + "Z": [ 62 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14176": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 68 ], + "B": [ 69 ], + "C": [ 70 ], + "D": [ 71 ], + "Z": [ 65 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14177": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 72 ], + "B": [ 73 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 76 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14178": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 77 ], + "B": [ 78 ], + "C": [ 79 ], + "D": [ "0" ], + "Z": [ 75 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14179": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 80 ], + "B": [ 81 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 82 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14180": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 83 ], + "B": [ 84 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 85 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14181": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 86 ], + "B": [ 87 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 88 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14182": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 89 ], + "B": [ 90 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 91 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14183": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 92 ], + "B": [ 93 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 94 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14184": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 95 ], + "B": [ 96 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 97 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14185": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 98 ], + "B": [ 99 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 100 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14186": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 101 ], + "B": [ 102 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 103 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14187": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 104 ], + "B": [ 105 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 106 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14188": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 107 ], + "B": [ 108 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 109 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14189": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 110 ], + "B": [ 111 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 112 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14190": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 113 ], + "B": [ 114 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 115 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14191": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 116 ], + "B": [ 117 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 118 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14192": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 119 ], + "B": [ 120 ], + "C": [ 74 ], + "D": [ 75 ], + "Z": [ 121 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14193": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, "connections": { "A": [ 122 ], "B": [ 123 ], - "C": [ 124 ], - "D": [ 73 ], - "Z": [ 126 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14259.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 126 ], - "BLUT": [ 125 ], - "C0": [ 127 ], - "Z": [ 128 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14260.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3925 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 129 ], - "B": [ 92 ], - "C": [ 93 ], - "D": [ 82 ], - "Z": [ 130 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14260.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65331 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 129 ], - "B": [ 92 ], - "C": [ 93 ], - "D": [ 82 ], - "Z": [ 131 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14260.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 131 ], - "BLUT": [ 130 ], - "C0": [ 87 ], + "C": [ 74 ], + "D": [ 75 ], "Z": [ 124 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14194": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 19 ], + "C": [ 41 ], + "D": [ 125 ], + "Z": [ 126 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14195": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 127 ], + "C": [ 128 ], + "D": [ "0" ], + "Z": [ 129 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14196": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 42 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 127 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -15937,18 +15751,18 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 132 ] + "A": [ 38 ], + "B": [ 44 ], + "C": [ 24 ], + "D": [ 29 ], + "Z": [ 130 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 24575 }, "attributes": { "module_not_derived": 1, @@ -15962,18 +15776,18 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 133 ] + "A": [ 38 ], + "B": [ 44 ], + "C": [ 24 ], + "D": [ 29 ], + "Z": [ 131 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.lut2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 1 + "INIT": 62975 }, "attributes": { "module_not_derived": 1, @@ -15987,18 +15801,18 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 134 ] + "A": [ 38 ], + "B": [ 44 ], + "C": [ 24 ], + "D": [ 29 ], + "Z": [ 132 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.lut3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 0 + "INIT": 62271 }, "attributes": { "module_not_derived": 1, @@ -16012,14 +15826,14 @@ "Z": "output" }, "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 135 ] + "A": [ 38 ], + "B": [ 44 ], + "C": [ 24 ], + "D": [ 29 ], + "Z": [ 133 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.mux50": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -16035,13 +15849,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 133 ], - "BLUT": [ 132 ], - "C0": [ 90 ], - "Z": [ 136 ] + "ALUT": [ 131 ], + "BLUT": [ 130 ], + "C0": [ 34 ], + "Z": [ 134 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.mux51": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -16057,13 +15871,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 135 ], - "BLUT": [ 134 ], - "C0": [ 90 ], - "Z": [ 137 ] + "ALUT": [ 133 ], + "BLUT": [ 132 ], + "C0": [ 34 ], + "Z": [ 135 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.mux6": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.mux6": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -16079,21 +15893,46 @@ "Z": "output" }, "connections": { - "D0": [ 136 ], - "D1": [ 137 ], - "SD": [ 78 ], - "Z": [ 129 ] + "D0": [ 134 ], + "D1": [ 135 ], + "SD": [ 25 ], + "Z": [ 128 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14262": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14198": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 8 + "INIT": 239 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 136 ], + "B": [ 20 ], + "C": [ 42 ], + "D": [ "0" ], + "Z": [ 137 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14199": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" }, "port_directions": { "A": "input", @@ -16104,21 +15943,21 @@ }, "connections": { "A": [ 138 ], - "B": [ 139 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 127 ] + "B": [ 70 ], + "C": [ 128 ], + "D": [ 139 ], + "Z": [ 136 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14263": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14200.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 36873 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" }, "port_directions": { "A": "input", @@ -16128,14 +15967,14 @@ "Z": "output" }, "connections": { - "A": [ 123 ], + "A": [ 70 ], "B": [ 140 ], - "C": [ 141 ], - "D": [ 142 ], - "Z": [ 138 ] + "C": [ 71 ], + "D": [ 141 ], + "Z": [ 142 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14264": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14200.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16143,7 +15982,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" }, "port_directions": { "A": "input", @@ -16153,18 +15992,40 @@ "Z": "output" }, "connections": { - "A": [ 143 ], - "B": [ 144 ], - "C": [ 145 ], - "D": [ 146 ], + "A": [ 70 ], + "B": [ 140 ], + "C": [ 71 ], + "D": [ 141 ], + "Z": [ 143 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14200.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 143 ], + "BLUT": [ 142 ], + "C0": [ 144 ], "Z": [ 139 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14265": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14201": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 3082 + "INIT": 36873 }, "attributes": { "module_not_derived": 1, @@ -16177,15 +16038,40 @@ "D": "input", "Z": "output" }, + "connections": { + "A": [ 68 ], + "B": [ 145 ], + "C": [ 69 ], + "D": [ 146 ], + "Z": [ 144 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14202": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, "connections": { "A": [ 147 ], - "B": [ 141 ], - "C": [ 73 ], - "D": [ 127 ], - "Z": [ 148 ] + "B": [ 148 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 149 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14266": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14203": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16203,64 +16089,14 @@ "Z": "output" }, "connections": { - "A": [ 149 ], - "B": [ 150 ], + "A": [ 150 ], + "B": [ 151 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 151 ] + "Z": [ 148 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14267": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 152 ], - "B": [ 143 ], - "C": [ 73 ], - "D": [ 127 ], - "Z": [ 153 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14268": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 154 ], - "B": [ 145 ], - "C": [ 73 ], - "D": [ 127 ], - "Z": [ 155 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14269": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14204": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16278,18 +16114,18 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], + "A": [ 4 ], + "B": [ 152 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 157 ] + "Z": [ 147 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14270": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14205": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 17727 + "INIT": 3082 }, "attributes": { "module_not_derived": 1, @@ -16303,18 +16139,43 @@ "Z": "output" }, "connections": { - "A": [ 92 ], - "B": [ 82 ], - "C": [ 93 ], - "D": [ 87 ], + "A": [ 153 ], + "B": [ 71 ], + "C": [ 128 ], + "D": [ 139 ], + "Z": [ 154 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14206": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 155 ], + "B": [ 68 ], + "C": [ 128 ], + "D": [ 139 ], "Z": [ 156 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14271": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14207": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 61713 + "INIT": 3082 }, "attributes": { "module_not_derived": 1, @@ -16328,39 +16189,39 @@ "Z": "output" }, "connections": { - "A": [ 158 ], + "A": [ 157 ], + "B": [ 69 ], + "C": [ 128 ], + "D": [ 139 ], + "Z": [ 158 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14208": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], "B": [ 159 ], - "C": [ 71 ], + "C": [ 56 ], "D": [ 160 ], "Z": [ 161 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14272": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 21503 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 92 ], - "B": [ 93 ], - "C": [ 82 ], - "D": [ 87 ], - "Z": [ 159 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14273": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14209": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16379,13 +16240,13 @@ }, "connections": { "A": [ 162 ], - "B": [ 163 ], - "C": [ 164 ], + "B": [ 125 ], + "C": [ 163 ], "D": [ "0" ], - "Z": [ 158 ] + "Z": [ 160 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14274": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14210": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16403,18 +16264,68 @@ "Z": "output" }, "connections": { - "A": [ 143 ], - "B": [ 145 ], - "C": [ 123 ], - "D": [ 141 ], - "Z": [ 164 ] + "A": [ 68 ], + "B": [ 69 ], + "C": [ 70 ], + "D": [ 71 ], + "Z": [ 163 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14275.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14211": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 4593 + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 164 ], + "C": [ 56 ], + "D": [ 165 ], + "Z": [ 166 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14212": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 167 ], + "B": [ 19 ], + "C": [ 163 ], + "D": [ "0" ], + "Z": [ 165 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14213.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4015 }, "attributes": { "module_not_derived": 1, @@ -16428,18 +16339,18 @@ "Z": "output" }, "connections": { - "A": [ 159 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 167 ], - "Z": [ 168 ] + "A": [ 168 ], + "B": [ 19 ], + "C": [ 169 ], + "D": [ 56 ], + "Z": [ 170 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14275.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14213.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65535 + "INIT": 4047 }, "attributes": { "module_not_derived": 1, @@ -16453,14 +16364,14 @@ "Z": "output" }, "connections": { - "A": [ 159 ], - "B": [ 165 ], - "C": [ 166 ], - "D": [ 167 ], - "Z": [ 169 ] + "A": [ 168 ], + "B": [ 19 ], + "C": [ 169 ], + "D": [ 56 ], + "Z": [ 171 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14275.mux5": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14213.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -16476,17 +16387,17 @@ "Z": "output" }, "connections": { - "ALUT": [ 169 ], - "BLUT": [ 168 ], - "C0": [ 170 ], - "Z": [ 171 ] + "ALUT": [ 171 ], + "BLUT": [ 170 ], + "C0": [ 65 ], + "Z": [ 172 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14276": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14214": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 62783 + "INIT": 3003 }, "attributes": { "module_not_derived": 1, @@ -16500,14 +16411,39 @@ "Z": "output" }, "connections": { - "A": [ 92 ], - "B": [ 93 ], - "C": [ 82 ], - "D": [ 87 ], - "Z": [ 167 ] + "A": [ 42 ], + "B": [ 19 ], + "C": [ 20 ], + "D": [ 173 ], + "Z": [ 169 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14277": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14215": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 174 ], + "C": [ 56 ], + "D": [ 175 ], + "Z": [ 176 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14216": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16525,118 +16461,18 @@ "Z": "output" }, "connections": { - "A": [ 172 ], - "B": [ 166 ], - "C": [ 173 ], - "D": [ "0" ], - "Z": [ 165 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14278": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 143 ], - "B": [ 145 ], - "C": [ 123 ], - "D": [ 141 ], - "Z": [ 173 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14279": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 75 ], - "B": [ 76 ], - "C": [ 174 ], - "D": [ "0" ], - "Z": [ 170 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14280": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61713 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 175 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 176 ], - "Z": [ 177 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14281": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 178 ], - "B": [ 166 ], - "C": [ 164 ], + "A": [ 177 ], + "B": [ 41 ], + "C": [ 163 ], "D": [ "0" ], "Z": [ 175 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14282": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14217": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 61713 + "INIT": 34959 }, "attributes": { "module_not_derived": 1, @@ -16650,14 +16486,14 @@ "Z": "output" }, "connections": { - "A": [ 179 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 180 ], - "Z": [ 181 ] + "A": [ 20 ], + "B": [ 178 ], + "C": [ 56 ], + "D": [ 179 ], + "Z": [ 180 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14283": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14218": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16675,14 +16511,64 @@ "Z": "output" }, "connections": { - "A": [ 182 ], - "B": [ 166 ], - "C": [ 183 ], + "A": [ 181 ], + "B": [ 61 ], + "C": [ 163 ], "D": [ "0" ], "Z": [ 179 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14284": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14219": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 182 ], + "C": [ 56 ], + "D": [ 183 ], + "Z": [ 184 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14220": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 185 ], + "B": [ 19 ], + "C": [ 186 ], + "D": [ "0" ], + "Z": [ 183 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14221": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16700,18 +16586,18 @@ "Z": "output" }, "connections": { - "A": [ 143 ], - "B": [ 145 ], - "C": [ 141 ], - "D": [ 123 ], - "Z": [ 183 ] + "A": [ 68 ], + "B": [ 69 ], + "C": [ 71 ], + "D": [ 70 ], + "Z": [ 186 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14285": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14222": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 61713 + "INIT": 3082 }, "attributes": { "module_not_derived": 1, @@ -16724,44 +16610,19 @@ "D": "input", "Z": "output" }, - "connections": { - "A": [ 184 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 185 ], - "Z": [ 186 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14286": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, "connections": { "A": [ 187 ], - "B": [ 188 ], - "C": [ 164 ], - "D": [ "0" ], - "Z": [ 184 ] + "B": [ 148 ], + "C": [ 4 ], + "D": [ 152 ], + "Z": [ 188 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14287": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14223": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 61713 + "INIT": 34959 }, "attributes": { "module_not_derived": 1, @@ -16775,14 +16636,14 @@ "Z": "output" }, "connections": { - "A": [ 189 ], - "B": [ 159 ], - "C": [ 71 ], + "A": [ 20 ], + "B": [ 189 ], + "C": [ 56 ], "D": [ 190 ], "Z": [ 191 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14288": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14224": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16801,63 +16662,13 @@ }, "connections": { "A": [ 192 ], - "B": [ 193 ], - "C": [ 164 ], + "B": [ 61 ], + "C": [ 193 ], "D": [ "0" ], - "Z": [ 189 ] + "Z": [ 190 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14289": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61713 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 194 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 195 ], - "Z": [ 196 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14290": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 197 ], - "B": [ 193 ], - "C": [ 198 ], - "D": [ "0" ], - "Z": [ 194 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14291": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14225": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -16875,3238 +16686,14 @@ "Z": "output" }, "connections": { - "A": [ 143 ], - "B": [ 145 ], - "C": [ 123 ], - "D": [ 141 ], - "Z": [ 198 ] + "A": [ 68 ], + "B": [ 69 ], + "C": [ 70 ], + "D": [ 71 ], + "Z": [ 193 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14292.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4593 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 159 ], - "B": [ 199 ], - "C": [ 188 ], - "D": [ 167 ], - "Z": [ 200 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14292.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 159 ], - "B": [ 199 ], - "C": [ 188 ], - "D": [ 167 ], - "Z": [ 201 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14292.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 201 ], - "BLUT": [ 200 ], - "C0": [ 202 ], - "Z": [ 203 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14293": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 204 ], - "B": [ 188 ], - "C": [ 173 ], - "D": [ "0" ], - "Z": [ 199 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14294": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 75 ], - "B": [ 76 ], - "C": [ 205 ], - "D": [ "0" ], - "Z": [ 202 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14295": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61713 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 206 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 207 ], - "Z": [ 208 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14296": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 209 ], - "B": [ 188 ], - "C": [ 183 ], - "D": [ "0" ], - "Z": [ 206 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14297.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4593 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 159 ], - "B": [ 210 ], - "C": [ 163 ], - "D": [ 167 ], - "Z": [ 211 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14297.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 159 ], - "B": [ 210 ], - "C": [ 163 ], - "D": [ 167 ], - "Z": [ 212 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14297.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 212 ], - "BLUT": [ 211 ], - "C0": [ 213 ], - "Z": [ 214 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14298": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 215 ], - "B": [ 163 ], - "C": [ 173 ], - "D": [ "0" ], - "Z": [ 210 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14299": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 75 ], - "B": [ 76 ], - "C": [ 216 ], - "D": [ "0" ], - "Z": [ 213 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14300": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61713 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 217 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 218 ], - "Z": [ 219 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14301": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 220 ], - "B": [ 163 ], - "C": [ 183 ], - "D": [ "0" ], - "Z": [ 217 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14302": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61713 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 221 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 222 ], - "Z": [ 223 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14303": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 224 ], - "B": [ 193 ], - "C": [ 183 ], - "D": [ "0" ], - "Z": [ 221 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14304": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65534 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 225 ], - "B": [ 226 ], - "C": [ 227 ], - "D": [ 228 ], - "Z": [ 229 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14305": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 230 ], - "B": [ 231 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 232 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14306": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 230 ], - "B": [ 231 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 233 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14307": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 231 ], - "B": [ 230 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 234 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14308": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 151 ], - "B": [ 235 ], - "C": [ 236 ], - "D": [ 4 ], - "Z": [ 237 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14309.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 238 ], - "B": [ 239 ], - "C": [ 129 ], - "D": [ 240 ], - "Z": [ 241 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14309.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 238 ], - "B": [ 239 ], - "C": [ 129 ], - "D": [ 240 ], - "Z": [ 242 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14309.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 242 ], - "BLUT": [ 241 ], - "C0": [ 156 ], - "Z": [ 243 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14310": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 45056 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 90 ], - "B": [ 244 ], - "C": [ 245 ], - "D": [ 115 ], - "Z": [ 240 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14311": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 60407 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 163 ], - "B": [ 188 ], - "C": [ 166 ], - "D": [ 193 ], - "Z": [ 244 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14312": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 77 ], - "D": [ 78 ], - "Z": [ 245 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14313": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 239 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14314.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 493 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 193 ], - "C": [ 166 ], - "D": [ 90 ], - "Z": [ 246 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14314.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 117 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 193 ], - "C": [ 166 ], - "D": [ 90 ], - "Z": [ 247 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14314.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 247 ], - "BLUT": [ 246 ], - "C0": [ 163 ], - "Z": [ 238 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14315": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63743 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 248 ], - "B": [ 249 ], - "C": [ 250 ], - "D": [ 251 ], - "Z": [ 252 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14316": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 253 ], - "B": [ 254 ], - "C": [ 249 ], - "D": [ 255 ], - "Z": [ 250 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14317": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 256 ], - "B": [ 254 ], - "C": [ 253 ], - "D": [ 257 ], - "Z": [ 251 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14318": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63743 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 258 ], - "B": [ 249 ], - "C": [ 259 ], - "D": [ 260 ], - "Z": [ 261 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14319": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 253 ], - "B": [ 254 ], - "C": [ 249 ], - "D": [ 262 ], - "Z": [ 259 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14320": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 263 ], - "B": [ 254 ], - "C": [ 253 ], - "D": [ 264 ], - "Z": [ 260 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14321": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63743 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 265 ], - "B": [ 249 ], - "C": [ 266 ], - "D": [ 267 ], - "Z": [ 268 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14322": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 253 ], - "B": [ 254 ], - "C": [ 249 ], - "D": [ 269 ], - "Z": [ 266 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14323": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 270 ], - "B": [ 254 ], - "C": [ 253 ], - "D": [ 271 ], - "Z": [ 267 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14324": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63743 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 272 ], - "B": [ 249 ], - "C": [ 273 ], - "D": [ 274 ], - "Z": [ 275 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14325": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 253 ], - "B": [ 254 ], - "C": [ 249 ], - "D": [ 276 ], - "Z": [ 273 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14326": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1911 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 277 ], - "B": [ 254 ], - "C": [ 253 ], - "D": [ 278 ], - "Z": [ 274 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14327": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 279 ], - "B": [ 151 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 280 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14328": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 11 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 279 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 281 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 282 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 283 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 284 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 285 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 286 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 287 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 288 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 282 ], - "BLUT": [ 281 ], - "C0": [ 87 ], - "Z": [ 289 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 284 ], - "BLUT": [ 283 ], - "C0": [ 87 ], - "Z": [ 290 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 286 ], - "BLUT": [ 285 ], - "C0": [ 87 ], - "Z": [ 291 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 288 ], - "BLUT": [ 287 ], - "C0": [ 87 ], - "Z": [ 292 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 289 ], - "D1": [ 290 ], - "SD": [ 90 ], - "Z": [ 293 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 291 ], - "D1": [ 292 ], - "SD": [ 90 ], - "Z": [ 294 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 293 ], - "D1": [ 294 ], - "SD": [ 78 ], - "Z": [ 295 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14330.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 49344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 296 ], - "B": [ 71 ], - "C": [ 166 ], - "D": [ 173 ], - "Z": [ 297 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14330.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61674 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 296 ], - "B": [ 71 ], - "C": [ 166 ], - "D": [ 173 ], - "Z": [ 298 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14330.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 298 ], - "BLUT": [ 297 ], - "C0": [ 299 ], - "Z": [ 300 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14331": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 295 ], - "B": [ 82 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 299 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14332": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 301 ], - "B": [ 302 ], - "C": [ 303 ], - "D": [ 304 ], - "Z": [ 305 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14333": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 306 ], - "B": [ 307 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 302 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14334": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 87 ], - "B": [ 82 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 306 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 308 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 309 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 310 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 77 ], - "Z": [ 311 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 309 ], - "BLUT": [ 308 ], - "C0": [ 90 ], - "Z": [ 312 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 311 ], - "BLUT": [ 310 ], - "C0": [ 90 ], - "Z": [ 313 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 312 ], - "D1": [ 313 ], - "SD": [ 78 ], - "Z": [ 307 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14336": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 315 ], - "D": [ "0" ], - "Z": [ 304 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14337": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 314 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14338": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 224 ], - "Z": [ 303 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14339": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 316 ], - "B": [ 317 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 301 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14340": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 193 ], - "C": [ 163 ], - "D": [ 166 ], - "Z": [ 318 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14341": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 319 ], - "B": [ 302 ], - "C": [ 320 ], - "D": [ 321 ], - "Z": [ 322 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14342": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 178 ], - "Z": [ 321 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14343": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 323 ], - "D": [ "0" ], - "Z": [ 320 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14344": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 324 ], - "B": [ 325 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 319 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14345.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 35064 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 326 ], - "B": [ 187 ], - "C": [ 302 ], - "D": [ 327 ], - "Z": [ 328 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14345.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 326 ], - "B": [ 187 ], - "C": [ 302 ], - "D": [ 327 ], - "Z": [ 329 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14345.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 329 ], - "BLUT": [ 328 ], - "C0": [ 330 ], - "Z": [ 331 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14346": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 144 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ "0" ], - "Z": [ 326 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14347": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 332 ], - "D": [ "0" ], - "Z": [ 330 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14348": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 333 ], - "B": [ 334 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 327 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14349": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 335 ], - "B": [ 302 ], - "C": [ 336 ], - "D": [ 337 ], - "Z": [ 338 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14350": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 339 ], - "D": [ "0" ], - "Z": [ 337 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14351": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 197 ], - "Z": [ 336 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14352": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 340 ], - "B": [ 341 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 335 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14353": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 11 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 302 ], - "B": [ 167 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 342 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14354": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 343 ], - "B": [ 302 ], - "C": [ 344 ], - "D": [ 345 ], - "Z": [ 346 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14355": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 306 ], - "B": [ 93 ], - "C": [ 347 ], - "D": [ "0" ], - "Z": [ 345 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14356": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 92 ], - "C": [ 348 ], - "D": [ "0" ], - "Z": [ 344 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14357": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 348 ], - "B": [ 349 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 343 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14358": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 350 ], - "B": [ 302 ], - "C": [ 351 ], - "D": [ 352 ], - "Z": [ 353 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14359": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 306 ], - "B": [ 93 ], - "C": [ 354 ], - "D": [ "0" ], - "Z": [ 352 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14360": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 92 ], - "C": [ 355 ], - "D": [ "0" ], - "Z": [ 351 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14361": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 355 ], - "B": [ 356 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 350 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14362": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 357 ], - "B": [ 302 ], - "C": [ 358 ], - "D": [ 359 ], - "Z": [ 360 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14363": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 306 ], - "B": [ 93 ], - "C": [ 361 ], - "D": [ "0" ], - "Z": [ 359 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14364": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 92 ], - "C": [ 362 ], - "D": [ "0" ], - "Z": [ 358 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14365": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 362 ], - "B": [ 363 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 357 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14366": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 14 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 302 ], - "B": [ 364 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 365 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14367": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 366 ], - "B": [ 91 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 364 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14368": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 366 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14369": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 11 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 71 ], - "B": [ 72 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 367 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14370": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 368 ], - "B": [ 302 ], - "C": [ 369 ], - "D": [ 370 ], - "Z": [ 371 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14371": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 372 ], - "D": [ "0" ], - "Z": [ 370 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14372": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 215 ], - "Z": [ 369 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14373": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 373 ], - "B": [ 374 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 368 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14374.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 35064 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 375 ], - "B": [ 376 ], - "C": [ 302 ], - "D": [ 377 ], - "Z": [ 378 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14374.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 375 ], - "B": [ 376 ], - "C": [ 302 ], - "D": [ 377 ], - "Z": [ 379 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14374.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 379 ], - "BLUT": [ 378 ], - "C0": [ 380 ], - "Z": [ 381 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14375": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 375 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14376": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 204 ], - "Z": [ 380 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14377": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 382 ], - "B": [ 383 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 377 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14378": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 248 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 384 ], - "B": [ 166 ], - "C": [ 71 ], - "D": [ "0" ], - "Z": [ 385 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14379": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 91 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 384 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14380": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 386 ], - "B": [ 302 ], - "C": [ 387 ], - "D": [ 388 ], - "Z": [ 389 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14381": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 390 ], - "D": [ "0" ], - "Z": [ 388 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14382": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 220 ], - "Z": [ 387 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14383": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 391 ], - "B": [ 392 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 386 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14384": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 143 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 384 ], - "B": [ 188 ], - "C": [ 167 ], - "D": [ "0" ], - "Z": [ 393 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14385": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 394 ], - "B": [ 302 ], - "C": [ 395 ], - "D": [ 396 ], - "Z": [ 397 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14386": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 209 ], - "Z": [ 396 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14387": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 398 ], - "D": [ "0" ], - "Z": [ 395 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14388": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 399 ], - "B": [ 400 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 394 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14389": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14226": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -20124,255 +16711,14 @@ "Z": "output" }, "connections": { - "A": [ 318 ], - "B": [ 302 ], - "C": [ 326 ], - "D": [ 375 ], - "Z": [ 401 ] + "A": [ 194 ], + "B": [ 48 ], + "C": [ 49 ], + "D": [ 47 ], + "Z": [ 195 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 127 ], - "B": [ 236 ], - "C": [ 299 ], - "D": [ 159 ], - "Z": [ 402 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 127 ], - "B": [ 236 ], - "C": [ 299 ], - "D": [ 159 ], - "Z": [ 403 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 57582 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 127 ], - "B": [ 236 ], - "C": [ 299 ], - "D": [ 159 ], - "Z": [ 404 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 127 ], - "B": [ 236 ], - "C": [ 299 ], - "D": [ 159 ], - "Z": [ 405 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 403 ], - "BLUT": [ 402 ], - "C0": [ 406 ], - "Z": [ 407 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 405 ], - "BLUT": [ 404 ], - "C0": [ 406 ], - "Z": [ 408 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 407 ], - "D1": [ 408 ], - "SD": [ 409 ], - "Z": [ 410 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14391": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 45056 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 236 ], - "B": [ 411 ], - "C": [ 245 ], - "D": [ 115 ], - "Z": [ 406 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14392": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61431 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 163 ], - "C": [ 193 ], - "D": [ 166 ], - "Z": [ 411 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14393": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 236 ], - "B": [ 412 ], - "C": [ 307 ], - "D": [ 306 ], - "Z": [ 409 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14394": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14227": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -20390,18 +16736,143 @@ "Z": "output" }, "connections": { - "A": [ 193 ], - "B": [ 166 ], - "C": [ 163 ], - "D": [ 188 ], - "Z": [ 412 ] + "A": [ 41 ], + "B": [ 61 ], + "C": [ 19 ], + "D": [ 125 ], + "Z": [ 194 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14395.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14228": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 4593 + "INIT": 61439 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 49 ], + "C": [ 196 ], + "D": [ 197 ], + "Z": [ 198 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14229": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 51 ], + "C": [ 199 ], + "D": [ 58 ], + "Z": [ 197 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14230": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 22 ], + "B": [ 38 ], + "C": [ 55 ], + "D": [ "0" ], + "Z": [ 199 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14231": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 34 ], + "B": [ 44 ], + "C": [ 40 ], + "D": [ 200 ], + "Z": [ 196 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14232": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 23 ], + "B": [ 52 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 200 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14233.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3852 }, "attributes": { "module_not_derived": 1, @@ -20415,18 +16886,18 @@ "Z": "output" }, "connections": { - "A": [ 159 ], - "B": [ 413 ], - "C": [ 193 ], - "D": [ 167 ], - "Z": [ 414 ] + "A": [ 201 ], + "B": [ 139 ], + "C": [ 197 ], + "D": [ 202 ], + "Z": [ 203 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14395.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14233.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65535 + "INIT": 65373 }, "attributes": { "module_not_derived": 1, @@ -20440,14 +16911,14 @@ "Z": "output" }, "connections": { - "A": [ 159 ], - "B": [ 413 ], - "C": [ 193 ], - "D": [ 167 ], - "Z": [ 415 ] + "A": [ 201 ], + "B": [ 139 ], + "C": [ 197 ], + "D": [ 202 ], + "Z": [ 204 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14395.mux5": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14233.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -20463,13 +16934,185 @@ "Z": "output" }, "connections": { - "ALUT": [ 415 ], - "BLUT": [ 414 ], - "C0": [ 416 ], - "Z": [ 417 ] + "ALUT": [ 204 ], + "BLUT": [ 203 ], + "C0": [ 200 ], + "Z": [ 205 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14396": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14234": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 126 ], + "B": [ 206 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 201 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14235": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 125 ], + "C": [ 19 ], + "D": [ 61 ], + "Z": [ 206 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14236.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4015 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 207 ], + "B": [ 41 ], + "C": [ 208 ], + "D": [ 56 ], + "Z": [ 209 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14236.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4047 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 207 ], + "B": [ 41 ], + "C": [ 208 ], + "D": [ 56 ], + "Z": [ 210 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14236.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 210 ], + "BLUT": [ 209 ], + "C0": [ 65 ], + "Z": [ 211 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14237": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3003 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 42 ], + "B": [ 41 ], + "C": [ 20 ], + "D": [ 212 ], + "Z": [ 208 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14238": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 213 ], + "C": [ 56 ], + "D": [ 214 ], + "Z": [ 215 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14239": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -20487,18 +17130,140 @@ "Z": "output" }, "connections": { - "A": [ 418 ], - "B": [ 193 ], - "C": [ 173 ], + "A": [ 216 ], + "B": [ 41 ], + "C": [ 186 ], "D": [ "0" ], - "Z": [ 413 ] + "Z": [ 214 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14397": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14240.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 128 + "INIT": 4015 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 217 ], + "B": [ 125 ], + "C": [ 218 ], + "D": [ 56 ], + "Z": [ 219 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14240.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4047 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 217 ], + "B": [ 125 ], + "C": [ 218 ], + "D": [ 56 ], + "Z": [ 220 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14240.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 220 ], + "BLUT": [ 219 ], + "C0": [ 65 ], + "Z": [ 221 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14241": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3003 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 42 ], + "B": [ 125 ], + "C": [ 20 ], + "D": [ 222 ], + "Z": [ 218 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14242": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 223 ], + "C": [ 56 ], + "D": [ 224 ], + "Z": [ 225 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14243": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53 }, "attributes": { "module_not_derived": 1, @@ -20512,18 +17277,43 @@ "Z": "output" }, "connections": { - "A": [ 75 ], - "B": [ 76 ], - "C": [ 419 ], + "A": [ 226 ], + "B": [ 125 ], + "C": [ 186 ], "D": [ "0" ], - "Z": [ 416 ] + "Z": [ 224 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14398": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14244": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 128 + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 227 ], + "C": [ 56 ], + "D": [ 228 ], + "Z": [ 229 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14245": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53 }, "attributes": { "module_not_derived": 1, @@ -20537,14 +17327,233 @@ "Z": "output" }, "connections": { - "A": [ 420 ], - "B": [ 230 ], - "C": [ 231 ], + "A": [ 230 ], + "B": [ 61 ], + "C": [ 186 ], "D": [ "0" ], - "Z": [ 421 ] + "Z": [ 228 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14399": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14246": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 14 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 199 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 231 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14247.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 232 ], + "B": [ 20 ], + "C": [ 61 ], + "D": [ 65 ], + "Z": [ 233 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14247.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61674 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 232 ], + "B": [ 20 ], + "C": [ 61 ], + "D": [ 65 ], + "Z": [ 234 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14247.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 234 ], + "BLUT": [ 233 ], + "C0": [ 199 ], + "Z": [ 235 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14248.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 20 ], + "C": [ 125 ], + "D": [ 65 ], + "Z": [ 237 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14248.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61674 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 236 ], + "B": [ 20 ], + "C": [ 125 ], + "D": [ 65 ], + "Z": [ 238 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14248.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 238 ], + "BLUT": [ 237 ], + "C0": [ 199 ], + "Z": [ 239 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14249": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65534 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 240 ], + "B": [ 241 ], + "C": [ 242 ], + "D": [ 243 ], + "Z": [ 244 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14250": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 245 ], + "B": [ 246 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 247 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14251": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -20562,14 +17571,605 @@ "Z": "output" }, "connections": { - "A": [ 20 ], - "B": [ 21 ], + "A": [ 245 ], + "B": [ 246 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 420 ] + "Z": [ 248 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14400": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14252": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 246 ], + "B": [ 245 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 249 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14253": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 250 ], + "B": [ 251 ], + "C": [ 252 ], + "D": [ 253 ], + "Z": [ 254 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14254": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 255 ], + "B": [ 256 ], + "C": [ 251 ], + "D": [ 257 ], + "Z": [ 252 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14255": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 258 ], + "B": [ 256 ], + "C": [ 255 ], + "D": [ 259 ], + "Z": [ 253 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14256": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 260 ], + "B": [ 251 ], + "C": [ 261 ], + "D": [ 262 ], + "Z": [ 263 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14257": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 255 ], + "B": [ 256 ], + "C": [ 251 ], + "D": [ 264 ], + "Z": [ 261 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14258": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 265 ], + "B": [ 256 ], + "C": [ 255 ], + "D": [ 266 ], + "Z": [ 262 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14259": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 267 ], + "B": [ 251 ], + "C": [ 268 ], + "D": [ 269 ], + "Z": [ 270 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14260": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 255 ], + "B": [ 256 ], + "C": [ 251 ], + "D": [ 271 ], + "Z": [ 268 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14261": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 272 ], + "B": [ 256 ], + "C": [ 255 ], + "D": [ 273 ], + "Z": [ 269 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14262": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 274 ], + "B": [ 251 ], + "C": [ 275 ], + "D": [ 276 ], + "Z": [ 277 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14263": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 255 ], + "B": [ 256 ], + "C": [ 251 ], + "D": [ 278 ], + "Z": [ 275 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14264": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 279 ], + "B": [ 256 ], + "C": [ 255 ], + "D": [ 280 ], + "Z": [ 276 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14265": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 19 ], + "C": [ 48 ], + "D": [ 41 ], + "Z": [ 281 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14266.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 282 ], + "C": [ 217 ], + "D": [ 47 ], + "Z": [ 283 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14266.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 282 ], + "C": [ 217 ], + "D": [ 47 ], + "Z": [ 284 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14266.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 284 ], + "BLUT": [ 283 ], + "C0": [ 285 ], + "Z": [ 286 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14267.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 287 ], + "B": [ 288 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 289 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14267.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 287 ], + "B": [ 288 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 290 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14267.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 290 ], + "BLUT": [ 289 ], + "C0": [ 54 ], + "Z": [ 285 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14268": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61439 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 291 ], + "B": [ 292 ], + "C": [ 293 ], + "D": [ 294 ], + "Z": [ 295 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14269.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 125 ], + "B": [ 41 ], + "C": [ 19 ], + "D": [ 61 ], + "Z": [ 296 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14269.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 320 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 125 ], + "B": [ 41 ], + "C": [ 19 ], + "D": [ 61 ], + "Z": [ 297 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14269.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 297 ], + "BLUT": [ 296 ], + "C0": [ 298 ], + "Z": [ 291 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14270": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -20587,14 +18187,86 @@ "Z": "output" }, "connections": { - "A": [ 420 ], - "B": [ 234 ], + "A": [ 23 ], + "B": [ 54 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 422 ] + "Z": [ 298 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14401": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14271.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 61 ], + "C": [ 19 ], + "D": [ 125 ], + "Z": [ 299 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14271.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 35331 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 61 ], + "C": [ 19 ], + "D": [ 125 ], + "Z": [ 300 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14271.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 300 ], + "BLUT": [ 299 ], + "C0": [ 301 ], + "Z": [ 292 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14272": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -20612,43 +18284,18 @@ "Z": "output" }, "connections": { - "A": [ 420 ], - "B": [ 233 ], + "A": [ 38 ], + "B": [ 59 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 423 ] + "Z": [ 301 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14402": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 420 ], - "B": [ 232 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 424 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 60928 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -20662,18 +18309,18 @@ "Z": "output" }, "connections": { - "A": [ 114 ], - "B": [ 115 ], - "C": [ 78 ], - "D": [ 77 ], - "Z": [ 425 ] + "A": [ 38 ], + "B": [ 29 ], + "C": [ 24 ], + "D": [ 44 ], + "Z": [ 302 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 2764 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -20687,18 +18334,18 @@ "Z": "output" }, "connections": { - "A": [ 114 ], - "B": [ 115 ], - "C": [ 78 ], - "D": [ 77 ], - "Z": [ 426 ] + "A": [ 38 ], + "B": [ 29 ], + "C": [ 24 ], + "D": [ 44 ], + "Z": [ 303 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.lut2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 41152 + "INIT": 13813 }, "attributes": { "module_not_derived": 1, @@ -20712,18 +18359,18 @@ "Z": "output" }, "connections": { - "A": [ 114 ], - "B": [ 115 ], - "C": [ 78 ], - "D": [ 77 ], - "Z": [ 427 ] + "A": [ 38 ], + "B": [ 29 ], + "C": [ 24 ], + "D": [ 44 ], + "Z": [ 304 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.lut3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 41120 + "INIT": 49407 }, "attributes": { "module_not_derived": 1, @@ -20737,14 +18384,14 @@ "Z": "output" }, "connections": { - "A": [ 114 ], - "B": [ 115 ], - "C": [ 78 ], - "D": [ 77 ], - "Z": [ 428 ] + "A": [ 38 ], + "B": [ 29 ], + "C": [ 24 ], + "D": [ 44 ], + "Z": [ 305 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.mux50": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -20760,13 +18407,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 426 ], - "BLUT": [ 425 ], - "C0": [ 82 ], - "Z": [ 429 ] + "ALUT": [ 303 ], + "BLUT": [ 302 ], + "C0": [ 34 ], + "Z": [ 306 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.mux51": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -20782,13 +18429,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 428 ], - "BLUT": [ 427 ], - "C0": [ 82 ], - "Z": [ 430 ] + "ALUT": [ 305 ], + "BLUT": [ 304 ], + "C0": [ 34 ], + "Z": [ 307 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.mux6": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.mux6": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -20804,13 +18451,683 @@ "Z": "output" }, "connections": { - "D0": [ 429 ], - "D1": [ 430 ], - "SD": [ 87 ], - "Z": [ 431 ] + "D0": [ 306 ], + "D1": [ 307 ], + "SD": [ 25 ], + "Z": [ 293 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14404": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32639 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 23 ], + "B": [ 52 ], + "C": [ 308 ], + "D": [ 38 ], + "Z": [ 309 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32639 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 23 ], + "B": [ 52 ], + "C": [ 308 ], + "D": [ 38 ], + "Z": [ 310 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32639 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 23 ], + "B": [ 52 ], + "C": [ 308 ], + "D": [ 38 ], + "Z": [ 311 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 127 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 23 ], + "B": [ 52 ], + "C": [ 308 ], + "D": [ 38 ], + "Z": [ 312 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 310 ], + "BLUT": [ 309 ], + "C0": [ 59 ], + "Z": [ 313 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 312 ], + "BLUT": [ 311 ], + "C0": [ 59 ], + "Z": [ 314 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 313 ], + "D1": [ 314 ], + "SD": [ 315 ], + "Z": [ 294 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14275": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 30188 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 61 ], + "C": [ 19 ], + "D": [ 125 ], + "Z": [ 315 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14276": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 60407 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 125 ], + "B": [ 41 ], + "C": [ 19 ], + "D": [ 61 ], + "Z": [ 308 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 2570 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 38 ], + "B": [ 29 ], + "C": [ 34 ], + "D": [ 44 ], + "Z": [ 316 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65450 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 38 ], + "B": [ 29 ], + "C": [ 34 ], + "D": [ 44 ], + "Z": [ 317 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65448 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 38 ], + "B": [ 29 ], + "C": [ 34 ], + "D": [ 44 ], + "Z": [ 318 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65282 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 38 ], + "B": [ 29 ], + "C": [ 34 ], + "D": [ 44 ], + "Z": [ 319 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 317 ], + "BLUT": [ 316 ], + "C0": [ 25 ], + "Z": [ 320 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 319 ], + "BLUT": [ 318 ], + "C0": [ 25 ], + "Z": [ 321 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 320 ], + "D1": [ 321 ], + "SD": [ 24 ], + "Z": [ 322 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14278.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 298 ], + "C": [ 61 ], + "D": [ 19 ], + "Z": [ 323 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14278.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 298 ], + "C": [ 61 ], + "D": [ 19 ], + "Z": [ 324 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14278.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 324 ], + "BLUT": [ 323 ], + "C0": [ 125 ], + "Z": [ 325 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14279.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 326 ], + "C": [ 207 ], + "D": [ 47 ], + "Z": [ 327 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14279.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 326 ], + "C": [ 207 ], + "D": [ 47 ], + "Z": [ 328 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14279.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 328 ], + "BLUT": [ 327 ], + "C0": [ 329 ], + "Z": [ 330 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14280.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 331 ], + "B": [ 332 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 333 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14280.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 331 ], + "B": [ 332 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 334 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14280.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 334 ], + "BLUT": [ 333 ], + "C0": [ 54 ], + "Z": [ 329 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14281.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 62532 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 335 ], + "B": [ 48 ], + "C": [ 60 ], + "D": [ 47 ], + "Z": [ 336 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14281.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 335 ], + "B": [ 48 ], + "C": [ 60 ], + "D": [ 47 ], + "Z": [ 337 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14281.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 337 ], + "BLUT": [ 336 ], + "C0": [ 338 ], + "Z": [ 339 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14282": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -20828,22 +19145,1499 @@ "Z": "output" }, "connections": { - "A": [ 237 ], - "B": [ 235 ], + "A": [ 49 ], + "B": [ 340 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 338 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14283": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 341 ], + "B": [ 342 ], + "C": [ 194 ], + "D": [ "0" ], + "Z": [ 335 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14284.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 343 ], + "C": [ 216 ], + "D": [ 47 ], + "Z": [ 344 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14284.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 343 ], + "C": [ 216 ], + "D": [ 47 ], + "Z": [ 345 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14284.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 345 ], + "BLUT": [ 344 ], + "C0": [ 346 ], + "Z": [ 347 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14285.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 348 ], + "B": [ 349 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 350 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14285.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 348 ], + "B": [ 349 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 351 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14285.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 351 ], + "BLUT": [ 350 ], + "C0": [ 54 ], + "Z": [ 346 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14286.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 352 ], + "C": [ 230 ], + "D": [ 47 ], + "Z": [ 353 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14286.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 352 ], + "C": [ 230 ], + "D": [ 47 ], + "Z": [ 354 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14286.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 354 ], + "BLUT": [ 353 ], + "C0": [ 355 ], + "Z": [ 356 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14287.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 357 ], + "B": [ 358 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 359 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14287.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 357 ], + "B": [ 358 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 360 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14287.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 360 ], + "BLUT": [ 359 ], + "C0": [ 54 ], + "Z": [ 355 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14288.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 361 ], + "C": [ 162 ], + "D": [ 47 ], + "Z": [ 362 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14288.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 361 ], + "C": [ 162 ], + "D": [ 47 ], + "Z": [ 363 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14288.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 363 ], + "BLUT": [ 362 ], + "C0": [ 364 ], + "Z": [ 365 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14289.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 366 ], + "B": [ 367 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 368 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14289.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 366 ], + "B": [ 367 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 369 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14289.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 369 ], + "BLUT": [ 368 ], + "C0": [ 54 ], + "Z": [ 364 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14290.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 370 ], + "C": [ 167 ], + "D": [ 47 ], + "Z": [ 371 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14290.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 370 ], + "C": [ 167 ], + "D": [ 47 ], + "Z": [ 372 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14290.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 372 ], + "BLUT": [ 371 ], + "C0": [ 373 ], + "Z": [ 374 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14291.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 375 ], + "B": [ 376 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 377 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14291.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 375 ], + "B": [ 376 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 378 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14291.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 378 ], + "BLUT": [ 377 ], + "C0": [ 54 ], + "Z": [ 373 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14292.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 379 ], + "C": [ 177 ], + "D": [ 47 ], + "Z": [ 380 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14292.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 379 ], + "C": [ 177 ], + "D": [ 47 ], + "Z": [ 381 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14292.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 381 ], + "BLUT": [ 380 ], + "C0": [ 382 ], + "Z": [ 383 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14293.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 384 ], + "B": [ 385 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 386 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14293.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 384 ], + "B": [ 385 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 387 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14293.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 387 ], + "BLUT": [ 386 ], + "C0": [ 54 ], + "Z": [ 382 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14294.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 388 ], + "C": [ 181 ], + "D": [ 47 ], + "Z": [ 389 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14294.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 388 ], + "C": [ 181 ], + "D": [ 47 ], + "Z": [ 390 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14294.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 390 ], + "BLUT": [ 389 ], + "C0": [ 391 ], + "Z": [ 392 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14295.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 393 ], + "B": [ 394 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 395 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14295.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 393 ], + "B": [ 394 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 396 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14295.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 396 ], + "BLUT": [ 395 ], + "C0": [ 54 ], + "Z": [ 391 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14296.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 397 ], + "C": [ 398 ], + "D": [ 47 ], + "Z": [ 399 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14296.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 397 ], + "C": [ 398 ], + "D": [ 47 ], + "Z": [ 400 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14296.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 400 ], + "BLUT": [ 399 ], + "C0": [ 401 ], + "Z": [ 402 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14297.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 403 ], + "B": [ 404 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 405 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14297.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 403 ], + "B": [ 404 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 406 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14297.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 406 ], + "BLUT": [ 405 ], + "C0": [ 54 ], + "Z": [ 401 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14298.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 407 ], + "C": [ 408 ], + "D": [ 47 ], + "Z": [ 409 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14298.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 407 ], + "C": [ 408 ], + "D": [ 47 ], + "Z": [ 410 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14298.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 410 ], + "BLUT": [ 409 ], + "C0": [ 411 ], + "Z": [ 412 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14299.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 413 ], + "B": [ 414 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 415 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14299.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 413 ], + "B": [ 414 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 416 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14299.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 416 ], + "BLUT": [ 415 ], + "C0": [ 54 ], + "Z": [ 411 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14300": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 417 ], + "C": [ 56 ], + "D": [ 418 ], + "Z": [ 419 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14301": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 398 ], + "B": [ 125 ], + "C": [ 193 ], + "D": [ "0" ], + "Z": [ 418 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14302": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 420 ], + "C": [ 56 ], + "D": [ 421 ], + "Z": [ 422 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14303": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 408 ], + "B": [ 19 ], + "C": [ 193 ], + "D": [ "0" ], + "Z": [ 421 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14304": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34959 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 423 ], + "C": [ 56 ], + "D": [ 424 ], + "Z": [ 425 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14305": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 426 ], + "B": [ 41 ], + "C": [ 193 ], + "D": [ "0" ], + "Z": [ 424 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14306": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 427 ], + "B": [ 245 ], + "C": [ 246 ], + "D": [ "0" ], + "Z": [ 428 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14307": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 74 ], + "B": [ 75 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 427 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14308": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 427 ], + "B": [ 249 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 429 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14309": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 427 ], + "B": [ 248 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 430 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14310": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 427 ], + "B": [ 247 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 431 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14311": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 127 ], "C": [ "0" ], "D": [ "0" ], "Z": [ 432 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14405": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14312.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 202 + "INIT": 62532 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" }, "port_directions": { "A": "input", @@ -20854,21 +20648,21 @@ }, "connections": { "A": [ 433 ], - "B": [ 373 ], - "C": [ 151 ], - "D": [ "0" ], + "B": [ 48 ], + "C": [ 226 ], + "D": [ 47 ], "Z": [ 434 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14406": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14312.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 202 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" }, "port_directions": { "A": "input", @@ -20878,22 +20672,44 @@ "Z": "output" }, "connections": { - "A": [ 435 ], - "B": [ 436 ], - "C": [ 151 ], - "D": [ "0" ], + "A": [ 433 ], + "B": [ 48 ], + "C": [ 226 ], + "D": [ 47 ], + "Z": [ 435 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14312.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 435 ], + "BLUT": [ 434 ], + "C0": [ 436 ], "Z": [ 437 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14407": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14313": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 202 + "INIT": 8 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" }, "port_directions": { "A": "input", @@ -20903,18 +20719,18 @@ "Z": "output" }, "connections": { - "A": [ 438 ], - "B": [ 382 ], - "C": [ 151 ], + "A": [ 49 ], + "B": [ 438 ], + "C": [ "0" ], "D": [ "0" ], - "Z": [ 439 ] + "Z": [ 436 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14408": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14314": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 202 + "INIT": 53 }, "attributes": { "module_not_derived": 1, @@ -20928,18 +20744,18 @@ "Z": "output" }, "connections": { - "A": [ 440 ], - "B": [ 441 ], - "C": [ 151 ], + "A": [ 439 ], + "B": [ 440 ], + "C": [ 194 ], "D": [ "0" ], - "Z": [ 442 ] + "Z": [ 433 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14409": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14315": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 202 + "INIT": 13 }, "attributes": { "module_not_derived": 1, @@ -20953,47 +20769,94 @@ "Z": "output" }, "connections": { - "A": [ 443 ], - "B": [ 391 ], - "C": [ 151 ], + "A": [ 202 ], + "B": [ 148 ], + "C": [ 147 ], "D": [ "0" ], + "Z": [ 441 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14316.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 442 ], + "C": [ 185 ], + "D": [ 47 ], + "Z": [ 443 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14316.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 442 ], + "C": [ 185 ], + "D": [ 47 ], "Z": [ 444 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14410": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14316.mux5": { "hide_name": 1, - "type": "LUT4", + "type": "PFUMX", "parameters": { - "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" }, "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", + "ALUT": "input", + "BLUT": "input", + "C0": "input", "Z": "output" }, "connections": { - "A": [ 445 ], - "B": [ 446 ], - "C": [ 151 ], - "D": [ "0" ], - "Z": [ 447 ] + "ALUT": [ 444 ], + "BLUT": [ 443 ], + "C0": [ 445 ], + "Z": [ 446 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14411": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14317.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 202 + "INIT": 0 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" }, "port_directions": { "A": "input", @@ -21003,22 +20866,22 @@ "Z": "output" }, "connections": { - "A": [ 448 ], - "B": [ 399 ], - "C": [ 151 ], - "D": [ "0" ], + "A": [ 447 ], + "B": [ 448 ], + "C": [ 194 ], + "D": [ 53 ], "Z": [ 449 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14412": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14317.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 202 + "INIT": 51712 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" }, "port_directions": { "A": "input", @@ -21028,39 +20891,36 @@ "Z": "output" }, "connections": { - "A": [ 450 ], - "B": [ 316 ], - "C": [ 151 ], - "D": [ "0" ], - "Z": [ 451 ] + "A": [ 447 ], + "B": [ 448 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 450 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14413": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14317.mux5": { "hide_name": 1, - "type": "LUT4", + "type": "PFUMX", "parameters": { - "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" }, "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", + "ALUT": "input", + "BLUT": "input", + "C0": "input", "Z": "output" }, "connections": { - "A": [ 452 ], - "B": [ 453 ], - "C": [ 151 ], - "D": [ "0" ], - "Z": [ 454 ] + "ALUT": [ 450 ], + "BLUT": [ 449 ], + "C0": [ 54 ], + "Z": [ 445 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14414": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14318": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -21078,14 +20938,14 @@ "Z": "output" }, "connections": { - "A": [ 455 ], - "B": [ 324 ], - "C": [ 151 ], + "A": [ 451 ], + "B": [ 287 ], + "C": [ 148 ], "D": [ "0" ], - "Z": [ 456 ] + "Z": [ 452 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14415": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14319": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -21103,14 +20963,14 @@ "Z": "output" }, "connections": { - "A": [ 457 ], - "B": [ 333 ], - "C": [ 151 ], + "A": [ 453 ], + "B": [ 454 ], + "C": [ 148 ], "D": [ "0" ], - "Z": [ 458 ] + "Z": [ 455 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14416": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14320": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -21128,14 +20988,64 @@ "Z": "output" }, "connections": { - "A": [ 459 ], - "B": [ 460 ], - "C": [ 151 ], + "A": [ 456 ], + "B": [ 331 ], + "C": [ 148 ], + "D": [ "0" ], + "Z": [ 457 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14321": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 458 ], + "B": [ 341 ], + "C": [ 148 ], + "D": [ "0" ], + "Z": [ 459 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14322": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 460 ], + "B": [ 439 ], + "C": [ 148 ], "D": [ "0" ], "Z": [ 461 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14417": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14323": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -21154,13 +21064,13 @@ }, "connections": { "A": [ 462 ], - "B": [ 463 ], - "C": [ 151 ], + "B": [ 447 ], + "C": [ 148 ], "D": [ "0" ], - "Z": [ 464 ] + "Z": [ 463 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14418": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14324": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -21178,14 +21088,39 @@ "Z": "output" }, "connections": { - "A": [ 465 ], - "B": [ 466 ], - "C": [ 151 ], + "A": [ 464 ], + "B": [ 348 ], + "C": [ 148 ], + "D": [ "0" ], + "Z": [ 465 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14325": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 466 ], + "B": [ 357 ], + "C": [ 148 ], "D": [ "0" ], "Z": [ 467 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14419": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14326": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -21204,13 +21139,13 @@ }, "connections": { "A": [ 468 ], - "B": [ 469 ], - "C": [ 151 ], + "B": [ 366 ], + "C": [ 148 ], "D": [ "0" ], - "Z": [ 470 ] + "Z": [ 469 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14420": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14327": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -21228,22 +21163,22 @@ "Z": "output" }, "connections": { - "A": [ 471 ], - "B": [ 340 ], - "C": [ 151 ], + "A": [ 470 ], + "B": [ 375 ], + "C": [ 148 ], "D": [ "0" ], - "Z": [ 472 ] + "Z": [ 471 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14421": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14328": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 61713 + "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -21253,18 +21188,43 @@ "Z": "output" }, "connections": { - "A": [ 473 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 474 ], - "Z": [ 475 ] + "A": [ 472 ], + "B": [ 384 ], + "C": [ 148 ], + "D": [ "0" ], + "Z": [ 473 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14422": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14329": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 53 + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 474 ], + "B": [ 393 ], + "C": [ 148 ], + "D": [ "0" ], + "Z": [ 475 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14330": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 }, "attributes": { "module_not_derived": 1, @@ -21279,121 +21239,21 @@ }, "connections": { "A": [ 476 ], - "B": [ 166 ], - "C": [ 198 ], - "D": [ "0" ], - "Z": [ 473 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14423": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65524 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 477 ], - "B": [ 302 ], - "C": [ 478 ], - "D": [ 479 ], - "Z": [ 480 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14424": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 481 ], - "D": [ "0" ], - "Z": [ 479 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14425": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 482 ], - "Z": [ 478 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14426": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 463 ], - "B": [ 483 ], - "C": [ 318 ], + "B": [ 403 ], + "C": [ 148 ], "D": [ "0" ], "Z": [ 477 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14427.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14331": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 3855 + "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -21403,256 +21263,68 @@ "Z": "output" }, "connections": { - "A": [ 460 ], + "A": [ 478 ], + "B": [ 413 ], + "C": [ 148 ], + "D": [ "0" ], + "Z": [ 479 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14332": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 480 ], + "B": [ 481 ], + "C": [ 148 ], + "D": [ "0" ], + "Z": [ 482 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14333": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 483 ], "B": [ 484 ], - "C": [ 485 ], - "D": [ 318 ], - "Z": [ 486 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14427.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53167 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 460 ], - "B": [ 484 ], - "C": [ 485 ], - "D": [ 318 ], - "Z": [ 487 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14427.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 487 ], - "BLUT": [ 486 ], - "C0": [ 302 ], - "Z": [ 488 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4095 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 129 ], - "B": [ 489 ], - "C": [ 192 ], - "D": [ 93 ], - "Z": [ 490 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 129 ], - "B": [ 489 ], - "C": [ 192 ], - "D": [ 93 ], - "Z": [ 491 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 30583 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 129 ], - "B": [ 489 ], - "C": [ 192 ], - "D": [ 93 ], - "Z": [ 492 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4095 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 129 ], - "B": [ 489 ], - "C": [ 192 ], - "D": [ 93 ], - "Z": [ 493 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 491 ], - "BLUT": [ 490 ], - "C0": [ 82 ], - "Z": [ 494 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 493 ], - "BLUT": [ 492 ], - "C0": [ 82 ], - "Z": [ 495 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 494 ], - "D1": [ 495 ], - "SD": [ 87 ], + "C": [ 148 ], + "D": [ "0" ], "Z": [ 485 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14429": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14334": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 61713 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 496 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 497 ], - "Z": [ 498 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14430": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 + "INIT": 112 }, "attributes": { "module_not_derived": 1, @@ -21666,5377 +21338,14 @@ "Z": "output" }, "connections": { - "A": [ 482 ], - "B": [ 163 ], - "C": [ 198 ], + "A": [ 152 ], + "B": [ 148 ], + "C": [ 486 ], "D": [ "0" ], - "Z": [ 496 ] + "Z": [ 487 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14431.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 49344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 499 ], - "B": [ 71 ], - "C": [ 188 ], - "D": [ 173 ], - "Z": [ 500 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14431.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61674 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 499 ], - "B": [ 71 ], - "C": [ 188 ], - "D": [ 173 ], - "Z": [ 501 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14431.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 501 ], - "BLUT": [ 500 ], - "C0": [ 299 ], - "Z": [ 502 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14432": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 503 ], - "B": [ 373 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 504 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14433": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 505 ], - "B": [ 436 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 506 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14434": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 507 ], - "B": [ 382 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 508 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14435": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 509 ], - "B": [ 441 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 510 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14436": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 511 ], - "B": [ 391 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 512 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14437": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 513 ], - "B": [ 446 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 514 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14438": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 515 ], - "B": [ 399 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 516 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14439": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 517 ], - "B": [ 316 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 518 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14440": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 519 ], - "B": [ 453 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 520 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14441": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 521 ], - "B": [ 324 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 522 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14442": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 523 ], - "B": [ 333 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 524 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14443": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 525 ], - "B": [ 460 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 526 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14444": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 527 ], - "B": [ 463 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 528 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14445": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 529 ], - "B": [ 466 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 530 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14446": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 531 ], - "B": [ 469 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 532 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14447": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 202 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 533 ], - "B": [ 340 ], - "C": [ 235 ], - "D": [ "0" ], - "Z": [ 534 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14448": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 302 ], - "B": [ 375 ], - "C": [ 326 ], - "D": [ "0" ], - "Z": [ 535 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14449": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 4 ], - "B": [ 235 ], - "C": [ 536 ], - "D": [ "0" ], - "Z": [ 537 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14450.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 538 ], - "B": [ 539 ], - "C": [ 540 ], - "D": [ 541 ], - "Z": [ 542 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14450.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65279 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 538 ], - "B": [ 539 ], - "C": [ 540 ], - "D": [ 541 ], - "Z": [ 543 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14450.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 543 ], - "BLUT": [ 542 ], - "C0": [ 544 ], - "Z": [ 545 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14451": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 546 ], - "B": [ 239 ], - "C": [ 129 ], - "D": [ "0" ], - "Z": [ 538 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14452": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32764 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 193 ], - "C": [ 163 ], - "D": [ 188 ], - "Z": [ 546 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 77 ], - "D": [ 82 ], - "Z": [ 547 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 77 ], - "D": [ 82 ], - "Z": [ 548 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 77 ], - "D": [ 82 ], - "Z": [ 549 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 77 ], - "D": [ 82 ], - "Z": [ 550 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 77 ], - "D": [ 82 ], - "Z": [ 551 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 77 ], - "D": [ 82 ], - "Z": [ 552 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 77 ], - "D": [ 82 ], - "Z": [ 553 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 2288 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 77 ], - "D": [ 82 ], - "Z": [ 554 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 548 ], - "BLUT": [ 547 ], - "C0": [ 114 ], - "Z": [ 555 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 550 ], - "BLUT": [ 549 ], - "C0": [ 114 ], - "Z": [ 556 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 552 ], - "BLUT": [ 551 ], - "C0": [ 114 ], - "Z": [ 557 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 554 ], - "BLUT": [ 553 ], - "C0": [ 114 ], - "Z": [ 558 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 555 ], - "D1": [ 556 ], - "SD": [ 87 ], - "Z": [ 559 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 557 ], - "D1": [ 558 ], - "SD": [ 87 ], - "Z": [ 560 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 559 ], - "D1": [ 560 ], - "SD": [ 78 ], - "Z": [ 539 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14454": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 28672 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 139 ], - "B": [ 138 ], - "C": [ 91 ], - "D": [ 239 ], - "Z": [ 540 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 22015 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 561 ], - "B": [ 114 ], - "C": [ 306 ], - "D": [ 76 ], - "Z": [ 562 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 561 ], - "B": [ 114 ], - "C": [ 306 ], - "D": [ 76 ], - "Z": [ 563 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 561 ], - "B": [ 114 ], - "C": [ 306 ], - "D": [ 76 ], - "Z": [ 564 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 561 ], - "B": [ 114 ], - "C": [ 306 ], - "D": [ 76 ], - "Z": [ 565 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 563 ], - "BLUT": [ 562 ], - "C0": [ 77 ], - "Z": [ 566 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 565 ], - "BLUT": [ 564 ], - "C0": [ 77 ], - "Z": [ 567 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 566 ], - "D1": [ 567 ], - "SD": [ 78 ], - "Z": [ 541 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14456": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 193 ], - "C": [ 166 ], - "D": [ "0" ], - "Z": [ 561 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14457.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4095 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 412 ], - "B": [ 307 ], - "C": [ 91 ], - "D": [ 87 ], - "Z": [ 568 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14457.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65399 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 412 ], - "B": [ 307 ], - "C": [ 91 ], - "D": [ 87 ], - "Z": [ 569 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14457.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 569 ], - "BLUT": [ 568 ], - "C0": [ 82 ], - "Z": [ 544 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 570 ], - "B": [ 571 ], - "C": [ 572 ], - "D": [ 82 ], - "Z": [ 573 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 570 ], - "B": [ 571 ], - "C": [ 572 ], - "D": [ 82 ], - "Z": [ 574 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 570 ], - "B": [ 571 ], - "C": [ 572 ], - "D": [ 82 ], - "Z": [ 575 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 570 ], - "B": [ 571 ], - "C": [ 572 ], - "D": [ 82 ], - "Z": [ 576 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 570 ], - "B": [ 571 ], - "C": [ 572 ], - "D": [ 82 ], - "Z": [ 577 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 570 ], - "B": [ 571 ], - "C": [ 572 ], - "D": [ 82 ], - "Z": [ 578 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 570 ], - "B": [ 571 ], - "C": [ 572 ], - "D": [ 82 ], - "Z": [ 579 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61184 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 570 ], - "B": [ 571 ], - "C": [ 572 ], - "D": [ 82 ], - "Z": [ 580 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 574 ], - "BLUT": [ 573 ], - "C0": [ 581 ], - "Z": [ 582 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 576 ], - "BLUT": [ 575 ], - "C0": [ 581 ], - "Z": [ 583 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 578 ], - "BLUT": [ 577 ], - "C0": [ 581 ], - "Z": [ 584 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 580 ], - "BLUT": [ 579 ], - "C0": [ 581 ], - "Z": [ 585 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 582 ], - "D1": [ 583 ], - "SD": [ 586 ], - "Z": [ 587 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 584 ], - "D1": [ 585 ], - "SD": [ 586 ], - "Z": [ 588 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 587 ], - "D1": [ 588 ], - "SD": [ 589 ], - "Z": [ 590 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 591 ], - "B": [ 592 ], - "C": [ 593 ], - "D": [ 244 ], - "Z": [ 594 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3855 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 591 ], - "B": [ 592 ], - "C": [ 593 ], - "D": [ 244 ], - "Z": [ 595 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 591 ], - "B": [ 592 ], - "C": [ 593 ], - "D": [ 244 ], - "Z": [ 596 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 591 ], - "B": [ 592 ], - "C": [ 593 ], - "D": [ 244 ], - "Z": [ 597 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 30583 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 591 ], - "B": [ 592 ], - "C": [ 593 ], - "D": [ 244 ], - "Z": [ 598 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 30583 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 591 ], - "B": [ 592 ], - "C": [ 593 ], - "D": [ 244 ], - "Z": [ 599 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 591 ], - "B": [ 592 ], - "C": [ 593 ], - "D": [ 244 ], - "Z": [ 600 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 255 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 591 ], - "B": [ 592 ], - "C": [ 593 ], - "D": [ 244 ], - "Z": [ 601 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 595 ], - "BLUT": [ 594 ], - "C0": [ 76 ], - "Z": [ 602 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 597 ], - "BLUT": [ 596 ], - "C0": [ 76 ], - "Z": [ 603 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 599 ], - "BLUT": [ 598 ], - "C0": [ 76 ], - "Z": [ 604 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 601 ], - "BLUT": [ 600 ], - "C0": [ 76 ], - "Z": [ 605 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 602 ], - "D1": [ 603 ], - "SD": [ 77 ], - "Z": [ 606 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 604 ], - "D1": [ 605 ], - "SD": [ 77 ], - "Z": [ 607 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 606 ], - "D1": [ 607 ], - "SD": [ 78 ], - "Z": [ 572 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 608 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 609 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 610 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 611 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 609 ], - "BLUT": [ 608 ], - "C0": [ 87 ], - "Z": [ 612 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 611 ], - "BLUT": [ 610 ], - "C0": [ 87 ], - "Z": [ 613 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 612 ], - "D1": [ 613 ], - "SD": [ 82 ], - "Z": [ 591 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14461": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 30188 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 193 ], - "C": [ 166 ], - "D": [ 163 ], - "Z": [ 592 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14462": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51964 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 193 ], - "B": [ 188 ], - "C": [ 163 ], - "D": [ 166 ], - "Z": [ 593 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 92 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 93 ], - "Z": [ 614 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 92 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 93 ], - "Z": [ 615 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 92 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 93 ], - "Z": [ 616 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 92 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 93 ], - "Z": [ 617 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 92 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 93 ], - "Z": [ 618 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 10794 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 92 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 93 ], - "Z": [ 619 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 92 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 93 ], - "Z": [ 620 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 92 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 93 ], - "Z": [ 621 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 615 ], - "BLUT": [ 614 ], - "C0": [ 87 ], - "Z": [ 622 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 617 ], - "BLUT": [ 616 ], - "C0": [ 87 ], - "Z": [ 623 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 619 ], - "BLUT": [ 618 ], - "C0": [ 87 ], - "Z": [ 624 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 621 ], - "BLUT": [ 620 ], - "C0": [ 87 ], - "Z": [ 625 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 622 ], - "D1": [ 623 ], - "SD": [ 295 ], - "Z": [ 626 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 624 ], - "D1": [ 625 ], - "SD": [ 295 ], - "Z": [ 627 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 626 ], - "D1": [ 627 ], - "SD": [ 82 ], - "Z": [ 570 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14464": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 306 ], - "B": [ 307 ], - "C": [ 628 ], - "D": [ "0" ], - "Z": [ 571 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14465": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64975 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 163 ], - "B": [ 193 ], - "C": [ 188 ], - "D": [ 166 ], - "Z": [ 628 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 93 ], - "D": [ 129 ], - "Z": [ 629 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 93 ], - "D": [ 129 ], - "Z": [ 630 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 93 ], - "D": [ 129 ], - "Z": [ 631 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 93 ], - "D": [ 129 ], - "Z": [ 632 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32639 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 93 ], - "D": [ 129 ], - "Z": [ 633 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32639 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 93 ], - "D": [ 129 ], - "Z": [ 634 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 255 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 93 ], - "D": [ 129 ], - "Z": [ 635 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 138 ], - "B": [ 139 ], - "C": [ 93 ], - "D": [ 129 ], - "Z": [ 636 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 630 ], - "BLUT": [ 629 ], - "C0": [ 637 ], - "Z": [ 638 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 632 ], - "BLUT": [ 631 ], - "C0": [ 637 ], - "Z": [ 639 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 634 ], - "BLUT": [ 633 ], - "C0": [ 637 ], - "Z": [ 640 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 636 ], - "BLUT": [ 635 ], - "C0": [ 637 ], - "Z": [ 641 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 638 ], - "D1": [ 639 ], - "SD": [ 82 ], - "Z": [ 642 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 640 ], - "D1": [ 641 ], - "SD": [ 82 ], - "Z": [ 643 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 642 ], - "D1": [ 643 ], - "SD": [ 87 ], - "Z": [ 589 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14467": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32447 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 193 ], - "B": [ 188 ], - "C": [ 163 ], - "D": [ 166 ], - "Z": [ 637 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61423 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 644 ], - "B": [ 82 ], - "C": [ 307 ], - "D": [ 139 ], - "Z": [ 645 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61423 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 644 ], - "B": [ 82 ], - "C": [ 307 ], - "D": [ 139 ], - "Z": [ 646 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61423 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 644 ], - "B": [ 82 ], - "C": [ 307 ], - "D": [ 139 ], - "Z": [ 647 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61423 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 644 ], - "B": [ 82 ], - "C": [ 307 ], - "D": [ 139 ], - "Z": [ 648 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 644 ], - "B": [ 82 ], - "C": [ 307 ], - "D": [ 139 ], - "Z": [ 649 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 644 ], - "B": [ 82 ], - "C": [ 307 ], - "D": [ 139 ], - "Z": [ 650 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 644 ], - "B": [ 82 ], - "C": [ 307 ], - "D": [ 139 ], - "Z": [ 651 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65280 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 644 ], - "B": [ 82 ], - "C": [ 307 ], - "D": [ 139 ], - "Z": [ 652 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 646 ], - "BLUT": [ 645 ], - "C0": [ 138 ], - "Z": [ 653 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 648 ], - "BLUT": [ 647 ], - "C0": [ 138 ], - "Z": [ 654 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 650 ], - "BLUT": [ 649 ], - "C0": [ 138 ], - "Z": [ 655 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 652 ], - "BLUT": [ 651 ], - "C0": [ 138 ], - "Z": [ 656 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 653 ], - "D1": [ 654 ], - "SD": [ 657 ], - "Z": [ 658 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 655 ], - "D1": [ 656 ], - "SD": [ 657 ], - "Z": [ 659 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 658 ], - "D1": [ 659 ], - "SD": [ 87 ], - "Z": [ 581 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 660 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 661 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 662 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 663 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 664 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 665 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 666 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 80 ], - "C": [ 81 ], - "D": [ 90 ], - "Z": [ 667 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 661 ], - "BLUT": [ 660 ], - "C0": [ 78 ], - "Z": [ 668 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 663 ], - "BLUT": [ 662 ], - "C0": [ 78 ], - "Z": [ 669 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 665 ], - "BLUT": [ 664 ], - "C0": [ 78 ], - "Z": [ 670 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 667 ], - "BLUT": [ 666 ], - "C0": [ 78 ], - "Z": [ 671 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 668 ], - "D1": [ 669 ], - "SD": [ 77 ], - "Z": [ 672 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 670 ], - "D1": [ 671 ], - "SD": [ 77 ], - "Z": [ 673 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 672 ], - "D1": [ 673 ], - "SD": [ 82 ], - "Z": [ 657 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14470": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65528 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 166 ], - "B": [ 193 ], - "C": [ 163 ], - "D": [ 188 ], - "Z": [ 644 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 674 ], - "B": [ 114 ], - "C": [ 314 ], - "D": [ 366 ], - "Z": [ 675 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 674 ], - "B": [ 114 ], - "C": [ 314 ], - "D": [ 366 ], - "Z": [ 676 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 674 ], - "B": [ 114 ], - "C": [ 314 ], - "D": [ 366 ], - "Z": [ 677 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3855 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 674 ], - "B": [ 114 ], - "C": [ 314 ], - "D": [ 366 ], - "Z": [ 678 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 674 ], - "B": [ 114 ], - "C": [ 314 ], - "D": [ 366 ], - "Z": [ 679 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 674 ], - "B": [ 114 ], - "C": [ 314 ], - "D": [ 366 ], - "Z": [ 680 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 674 ], - "B": [ 114 ], - "C": [ 314 ], - "D": [ 366 ], - "Z": [ 681 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 22015 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 674 ], - "B": [ 114 ], - "C": [ 314 ], - "D": [ 366 ], - "Z": [ 682 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 676 ], - "BLUT": [ 675 ], - "C0": [ 77 ], - "Z": [ 683 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 678 ], - "BLUT": [ 677 ], - "C0": [ 77 ], - "Z": [ 684 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 680 ], - "BLUT": [ 679 ], - "C0": [ 77 ], - "Z": [ 685 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 682 ], - "BLUT": [ 681 ], - "C0": [ 77 ], - "Z": [ 686 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 683 ], - "D1": [ 684 ], - "SD": [ 115 ], - "Z": [ 687 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 685 ], - "D1": [ 686 ], - "SD": [ 115 ], - "Z": [ 688 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 687 ], - "D1": [ 688 ], - "SD": [ 78 ], - "Z": [ 586 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14472": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4096 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 163 ], - "C": [ 193 ], - "D": [ 166 ], - "Z": [ 674 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14473": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 689 ], - "B": [ 163 ], - "C": [ 183 ], - "D": [ 299 ], - "Z": [ 690 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14474": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 691 ], - "B": [ 166 ], - "C": [ 183 ], - "D": [ 299 ], - "Z": [ 692 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14475.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 49344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 693 ], - "B": [ 71 ], - "C": [ 193 ], - "D": [ 173 ], - "Z": [ 694 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14475.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61674 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 693 ], - "B": [ 71 ], - "C": [ 193 ], - "D": [ 173 ], - "Z": [ 695 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14475.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 695 ], - "BLUT": [ 694 ], - "C0": [ 299 ], - "Z": [ 696 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 697 ], - "B": [ 698 ], - "C": [ 699 ], - "D": [ 700 ], - "Z": [ 701 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 697 ], - "B": [ 698 ], - "C": [ 699 ], - "D": [ 700 ], - "Z": [ 702 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 697 ], - "B": [ 698 ], - "C": [ 699 ], - "D": [ 700 ], - "Z": [ 703 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65534 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 697 ], - "B": [ 698 ], - "C": [ 699 ], - "D": [ 700 ], - "Z": [ 704 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 702 ], - "BLUT": [ 701 ], - "C0": [ 72 ], - "Z": [ 705 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 704 ], - "BLUT": [ 703 ], - "C0": [ 72 ], - "Z": [ 706 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 705 ], - "D1": [ 706 ], - "SD": [ 707 ], - "Z": [ 708 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14477": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 28672 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 139 ], - "B": [ 138 ], - "C": [ 657 ], - "D": [ 87 ], - "Z": [ 697 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 93 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 295 ], - "Z": [ 709 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 0 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 93 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 295 ], - "Z": [ 710 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.lut2": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 43690 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 93 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 295 ], - "Z": [ 711 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.lut3": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 43690 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 93 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 295 ], - "Z": [ 712 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.lut4": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 49152 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 93 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 295 ], - "Z": [ 713 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.lut5": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 49152 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 93 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 295 ], - "Z": [ 714 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.lut6": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65280 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 93 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 295 ], - "Z": [ 715 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.lut7": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 93 ], - "B": [ 138 ], - "C": [ 139 ], - "D": [ 295 ], - "Z": [ 716 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 710 ], - "BLUT": [ 709 ], - "C0": [ 92 ], - "Z": [ 717 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 712 ], - "BLUT": [ 711 ], - "C0": [ 92 ], - "Z": [ 718 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.mux52": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 714 ], - "BLUT": [ 713 ], - "C0": [ 92 ], - "Z": [ 719 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.mux53": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 716 ], - "BLUT": [ 715 ], - "C0": [ 92 ], - "Z": [ 720 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.mux60": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 717 ], - "D1": [ 718 ], - "SD": [ 87 ], - "Z": [ 721 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.mux61": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 719 ], - "D1": [ 720 ], - "SD": [ 87 ], - "Z": [ 722 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.mux7": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 721 ], - "D1": [ 722 ], - "SD": [ 82 ], - "Z": [ 698 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14479": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 723 ], - "B": [ 307 ], - "C": [ 366 ], - "D": [ "0" ], - "Z": [ 699 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14480.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 24391 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 87 ], - "B": [ 188 ], - "C": [ 193 ], - "D": [ 166 ], - "Z": [ 724 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14480.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 29781 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 87 ], - "B": [ 188 ], - "C": [ 193 ], - "D": [ 166 ], - "Z": [ 725 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14480.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 725 ], - "BLUT": [ 724 ], - "C0": [ 163 ], - "Z": [ 723 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14481": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 64 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 726 ], - "B": [ 245 ], - "C": [ 115 ], - "D": [ "0" ], - "Z": [ 700 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14482.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65503 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 163 ], - "C": [ 193 ], - "D": [ 166 ], - "Z": [ 727 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14482.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4104 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 163 ], - "C": [ 193 ], - "D": [ 166 ], - "Z": [ 728 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14482.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 728 ], - "BLUT": [ 727 ], - "C0": [ 87 ], - "Z": [ 726 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14483": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8191 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 729 ], - "B": [ 592 ], - "C": [ 129 ], - "D": [ 239 ], - "Z": [ 707 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14484": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 193 ], - "C": [ 163 ], - "D": [ 166 ], - "Z": [ 729 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14485": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 280 ], - "B": [ 237 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 730 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14486": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61713 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 731 ], - "B": [ 159 ], - "C": [ 71 ], - "D": [ 732 ], - "Z": [ 733 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14487": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 734 ], - "B": [ 188 ], - "C": [ 198 ], - "D": [ "0" ], - "Z": [ 731 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14488": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 735 ], - "B": [ 302 ], - "C": [ 736 ], - "D": [ 364 ], - "Z": [ 737 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14489": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 256 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 193 ], - "B": [ 163 ], - "C": [ 166 ], - "D": [ 188 ], - "Z": [ 735 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14490": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 738 ], - "B": [ 188 ], - "C": [ 183 ], - "D": [ 299 ], - "Z": [ 739 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14491": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 740 ], - "B": [ 193 ], - "C": [ 183 ], - "D": [ 299 ], - "Z": [ 741 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14492": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3082 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 742 ], - "B": [ 151 ], - "C": [ 4 ], - "D": [ 235 ], - "Z": [ 743 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14493": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 254 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 235 ], - "B": [ 236 ], - "C": [ 536 ], - "D": [ 4 ], - "Z": [ 744 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14494.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 78 ], - "B": [ 572 ], - "C": [ 745 ], - "D": [ 746 ], - "Z": [ 747 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14494.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 62207 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 78 ], - "B": [ 572 ], - "C": [ 745 ], - "D": [ 746 ], - "Z": [ 748 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14494.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 748 ], - "BLUT": [ 747 ], - "C0": [ 156 ], - "Z": [ 749 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14495": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 129 ], - "B": [ 239 ], - "C": [ 750 ], - "D": [ "0" ], - "Z": [ 745 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14496": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 35331 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 193 ], - "C": [ 166 ], - "D": [ 163 ], - "Z": [ 750 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14497": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14335": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -27054,22 +21363,22 @@ "Z": "output" }, "connections": { - "A": [ 751 ], - "B": [ 307 ], - "C": [ 366 ], - "D": [ 295 ], - "Z": [ 746 ] + "A": [ 148 ], + "B": [ 152 ], + "C": [ 202 ], + "D": [ 4 ], + "Z": [ 486 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14498": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14336": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65215 + "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -27079,22 +21388,22 @@ "Z": "output" }, "connections": { - "A": [ 163 ], - "B": [ 188 ], - "C": [ 166 ], - "D": [ 193 ], - "Z": [ 751 ] + "A": [ 488 ], + "B": [ 287 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 489 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14337": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 3084 + "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -27104,22 +21413,22 @@ "Z": "output" }, "connections": { - "A": [ 82 ], - "B": [ 115 ], - "C": [ 87 ], - "D": [ 114 ], - "Z": [ 752 ] + "A": [ 490 ], + "B": [ 454 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 491 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14338": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65484 + "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -27129,22 +21438,22 @@ "Z": "output" }, "connections": { - "A": [ 82 ], - "B": [ 115 ], - "C": [ 87 ], - "D": [ 114 ], - "Z": [ 753 ] + "A": [ 492 ], + "B": [ 331 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 493 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.lut2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14339": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65484 + "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -27154,22 +21463,22 @@ "Z": "output" }, "connections": { - "A": [ 82 ], - "B": [ 115 ], - "C": [ 87 ], - "D": [ 114 ], - "Z": [ 754 ] + "A": [ 494 ], + "B": [ 341 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 495 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.lut3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14340": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65284 + "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -27179,88 +21488,22 @@ "Z": "output" }, "connections": { - "A": [ 82 ], - "B": [ 115 ], - "C": [ 87 ], - "D": [ 114 ], - "Z": [ 755 ] + "A": [ 496 ], + "B": [ 439 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 497 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.mux50": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 753 ], - "BLUT": [ 752 ], - "C0": [ 77 ], - "Z": [ 756 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.mux51": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 755 ], - "BLUT": [ 754 ], - "C0": [ 77 ], - "Z": [ 757 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.mux6": { - "hide_name": 1, - "type": "L6MUX21", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" - }, - "port_directions": { - "D0": "input", - "D1": "input", - "SD": "input", - "Z": "output" - }, - "connections": { - "D0": [ 756 ], - "D1": [ 757 ], - "SD": [ 78 ], - "Z": [ 758 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14500": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14341": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 51712 + "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -27270,22 +21513,22 @@ "Z": "output" }, "connections": { - "A": [ 759 ], - "B": [ 163 ], - "C": [ 164 ], - "D": [ 299 ], - "Z": [ 760 ] + "A": [ 498 ], + "B": [ 447 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 499 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14501": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14342": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 51712 + "INIT": 202 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -27295,18 +21538,268 @@ "Z": "output" }, "connections": { - "A": [ 761 ], - "B": [ 166 ], - "C": [ 164 ], - "D": [ 299 ], - "Z": [ 762 ] + "A": [ 500 ], + "B": [ 348 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 501 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14502.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14343": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 35064 + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 502 ], + "B": [ 357 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 503 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14344": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 504 ], + "B": [ 366 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 505 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14345": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 506 ], + "B": [ 375 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 507 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14346": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 508 ], + "B": [ 384 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 509 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14347": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 510 ], + "B": [ 393 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 511 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14348": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 512 ], + "B": [ 403 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 513 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14349": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 514 ], + "B": [ 413 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 515 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14350": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 516 ], + "B": [ 481 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 517 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14351": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 518 ], + "B": [ 484 ], + "C": [ 152 ], + "D": [ "0" ], + "Z": [ 519 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14352": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 152 ], + "C": [ 520 ], + "D": [ "0" ], + "Z": [ 521 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14353.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 }, "attributes": { "module_not_derived": 1, @@ -27320,14 +21813,14 @@ "Z": "output" }, "connections": { - "A": [ 326 ], - "B": [ 162 ], - "C": [ 302 ], - "D": [ 763 ], - "Z": [ 764 ] + "A": [ 49 ], + "B": [ 522 ], + "C": [ 168 ], + "D": [ 47 ], + "Z": [ 523 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14502.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14353.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -27345,14 +21838,14 @@ "Z": "output" }, "connections": { - "A": [ 326 ], - "B": [ 162 ], - "C": [ 302 ], - "D": [ 763 ], - "Z": [ 765 ] + "A": [ 49 ], + "B": [ 522 ], + "C": [ 168 ], + "D": [ 47 ], + "Z": [ 524 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14502.mux5": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14353.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -27368,160 +21861,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 765 ], - "BLUT": [ 764 ], - "C0": [ 766 ], - "Z": [ 767 ] + "ALUT": [ 524 ], + "BLUT": [ 523 ], + "C0": [ 525 ], + "Z": [ 526 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14503": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 768 ], - "D": [ "0" ], - "Z": [ 766 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14504": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 453 ], - "B": [ 769 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 763 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14505.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 49344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 770 ], - "B": [ 71 ], - "C": [ 163 ], - "D": [ 173 ], - "Z": [ 771 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14505.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61674 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 770 ], - "B": [ 71 ], - "C": [ 163 ], - "D": [ 173 ], - "Z": [ 772 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14505.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 772 ], - "BLUT": [ 771 ], - "C0": [ 299 ], - "Z": [ 773 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14506": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 51712 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 774 ], - "B": [ 188 ], - "C": [ 164 ], - "D": [ 299 ], - "Z": [ 775 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14507.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14354.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -27539,18 +21885,18 @@ "Z": "output" }, "connections": { - "A": [ 593 ], - "B": [ 80 ], - "C": [ 776 ], - "D": [ 366 ], - "Z": [ 777 ] + "A": [ 454 ], + "B": [ 527 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 528 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14507.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14354.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 63488 + "INIT": 51712 }, "attributes": { "module_not_derived": 1, @@ -27564,14 +21910,14 @@ "Z": "output" }, "connections": { - "A": [ 593 ], - "B": [ 80 ], - "C": [ 776 ], - "D": [ 366 ], - "Z": [ 778 ] + "A": [ 454 ], + "B": [ 527 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 529 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14507.mux5": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14354.mux5": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -27587,38 +21933,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 778 ], - "BLUT": [ 777 ], - "C0": [ 307 ], - "Z": [ 779 ] + "ALUT": [ 529 ], + "BLUT": [ 528 ], + "C0": [ 54 ], + "Z": [ 525 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14508": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 188 ], - "B": [ 193 ], - "C": [ 163 ], - "D": [ 166 ], - "Z": [ 776 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14509": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14355": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -27636,18 +21957,18 @@ "Z": "output" }, "connections": { - "A": [ 780 ], - "B": [ 193 ], - "C": [ 164 ], - "D": [ 299 ], - "Z": [ 781 ] + "A": [ 530 ], + "B": [ 19 ], + "C": [ 186 ], + "D": [ 199 ], + "Z": [ 531 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14510": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14356": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 51712 + "INIT": 254 }, "attributes": { "module_not_derived": 1, @@ -27661,18 +21982,18 @@ "Z": "output" }, "connections": { - "A": [ 782 ], - "B": [ 163 ], - "C": [ 198 ], - "D": [ 299 ], - "Z": [ 783 ] + "A": [ 152 ], + "B": [ 202 ], + "C": [ 520 ], + "D": [ 4 ], + "Z": [ 532 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14511": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14357": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 51712 + "INIT": 61439 }, "attributes": { "module_not_derived": 1, @@ -27686,18 +22007,43 @@ "Z": "output" }, "connections": { - "A": [ 784 ], - "B": [ 166 ], - "C": [ 198 ], - "D": [ 299 ], - "Z": [ 785 ] + "A": [ 533 ], + "B": [ 534 ], + "C": [ 56 ], + "D": [ 42 ], + "Z": [ 535 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14512": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14358": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 51712 + "INIT": 176 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 308 ], + "C": [ 200 ], + "D": [ "0" ], + "Z": [ 533 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14359": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 20224 }, "attributes": { "module_not_derived": 1, @@ -27711,18 +22057,43 @@ "Z": "output" }, "connections": { - "A": [ 786 ], - "B": [ 188 ], - "C": [ 198 ], - "D": [ 299 ], - "Z": [ 787 ] + "A": [ 536 ], + "B": [ 37 ], + "C": [ 537 ], + "D": [ 301 ], + "Z": [ 534 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14513": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14360": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 51712 + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 61 ], + "C": [ 125 ], + "D": [ "0" ], + "Z": [ 536 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14361": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 23803 }, "attributes": { "module_not_derived": 1, @@ -27736,22 +22107,22 @@ "Z": "output" }, "connections": { - "A": [ 788 ], - "B": [ 193 ], - "C": [ 198 ], - "D": [ 299 ], - "Z": [ 789 ] + "A": [ 125 ], + "B": [ 19 ], + "C": [ 61 ], + "D": [ 41 ], + "Z": [ 537 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14514": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14362": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 65524 + "INIT": 79 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -27761,14 +22132,86 @@ "Z": "output" }, "connections": { - "A": [ 790 ], - "B": [ 302 ], - "C": [ 791 ], - "D": [ 792 ], - "Z": [ 793 ] + "A": [ 538 ], + "B": [ 29 ], + "C": [ 539 ], + "D": [ "0" ], + "Z": [ 540 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14515": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14363.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 139 ], + "B": [ 128 ], + "C": [ 541 ], + "D": [ 542 ], + "Z": [ 543 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14363.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 14 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 139 ], + "B": [ 128 ], + "C": [ 541 ], + "D": [ 542 ], + "Z": [ 544 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14363.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 544 ], + "BLUT": [ 543 ], + "C0": [ 294 ], + "Z": [ 538 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14364": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -27786,18 +22229,18 @@ "Z": "output" }, "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 794 ], + "A": [ 23 ], + "B": [ 54 ], + "C": [ 545 ], "D": [ "0" ], - "Z": [ 792 ] + "Z": [ 541 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14516": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14365": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 36864 + "INIT": 51964 }, "attributes": { "module_not_derived": 1, @@ -27811,505 +22254,14 @@ "Z": "output" }, "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 172 ], - "Z": [ 791 ] + "A": [ 61 ], + "B": [ 41 ], + "C": [ 125 ], + "D": [ 19 ], + "Z": [ 545 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14517": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 436 ], - "B": [ 795 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 790 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14518.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 35064 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 375 ], - "B": [ 796 ], - "C": [ 302 ], - "D": [ 797 ], - "Z": [ 798 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14518.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 375 ], - "B": [ 796 ], - "C": [ 302 ], - "D": [ 797 ], - "Z": [ 799 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14518.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 799 ], - "BLUT": [ 798 ], - "C0": [ 800 ], - "Z": [ 801 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14519": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 418 ], - "Z": [ 800 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14520": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 441 ], - "B": [ 802 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 797 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14521.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 35064 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 326 ], - "B": [ 182 ], - "C": [ 302 ], - "D": [ 803 ], - "Z": [ 804 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14521.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 326 ], - "B": [ 182 ], - "C": [ 302 ], - "D": [ 803 ], - "Z": [ 805 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14521.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 805 ], - "BLUT": [ 804 ], - "C0": [ 806 ], - "Z": [ 807 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14522": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 314 ], - "B": [ 129 ], - "C": [ 808 ], - "D": [ "0" ], - "Z": [ 806 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14523": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 446 ], - "B": [ 809 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 803 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14524": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 384 ], - "B": [ 163 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 810 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14525": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 384 ], - "B": [ 193 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 811 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14526.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 35064 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 375 ], - "B": [ 812 ], - "C": [ 302 ], - "D": [ 813 ], - "Z": [ 814 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14526.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65535 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 375 ], - "B": [ 812 ], - "C": [ 302 ], - "D": [ 813 ], - "Z": [ 815 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14526.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 815 ], - "BLUT": [ 814 ], - "C0": [ 816 ], - "Z": [ 817 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14527": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36864 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 87 ], - "C": [ 93 ], - "D": [ 476 ], - "Z": [ 816 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14528": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 53 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 466 ], - "B": [ 818 ], - "C": [ 318 ], - "D": [ "0" ], - "Z": [ 813 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14529": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 239 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 819 ], - "B": [ 820 ], - "C": [ 821 ], - "D": [ "0" ], - "Z": [ 822 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14530": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 16384 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 318 ], - "B": [ 307 ], - "C": [ 306 ], - "D": [ 469 ], - "Z": [ 819 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14531": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14366": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28327,14 +22279,205 @@ "Z": "output" }, "connections": { - "A": [ 306 ], - "B": [ 307 ], - "C": [ 318 ], - "D": [ 823 ], - "Z": [ 820 ] + "A": [ 38 ], + "B": [ 55 ], + "C": [ 54 ], + "D": [ 546 ], + "Z": [ 542 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.lut0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14367": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64975 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 125 ], + "B": [ 61 ], + "C": [ 41 ], + "D": [ 19 ], + "Z": [ 546 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 139 ], + "C": [ 51 ], + "D": [ 547 ], + "Z": [ 548 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 139 ], + "C": [ 51 ], + "D": [ 547 ], + "Z": [ 549 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 139 ], + "C": [ 51 ], + "D": [ 547 ], + "Z": [ 550 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 127 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 139 ], + "C": [ 51 ], + "D": [ 547 ], + "Z": [ 551 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 549 ], + "BLUT": [ 548 ], + "C0": [ 552 ], + "Z": [ 553 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 551 ], + "BLUT": [ 550 ], + "C0": [ 552 ], + "Z": [ 554 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 553 ], + "D1": [ 554 ], + "SD": [ 555 ], + "Z": [ 539 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28352,14 +22495,14 @@ "Z": "output" }, "connections": { - "A": [ 129 ], - "B": [ 824 ], - "C": [ 734 ], - "D": [ 93 ], - "Z": [ 825 ] + "A": [ 40 ], + "B": [ 44 ], + "C": [ 22 ], + "D": [ 38 ], + "Z": [ 556 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.lut1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.lut1": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28377,18 +22520,18 @@ "Z": "output" }, "connections": { - "A": [ 129 ], - "B": [ 824 ], - "C": [ 734 ], - "D": [ 93 ], - "Z": [ 826 ] + "A": [ 40 ], + "B": [ 44 ], + "C": [ 22 ], + "D": [ 38 ], + "Z": [ 557 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.lut2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.lut2": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 30583 + "INIT": 5439 }, "attributes": { "module_not_derived": 1, @@ -28402,18 +22545,18 @@ "Z": "output" }, "connections": { - "A": [ 129 ], - "B": [ 824 ], - "C": [ 734 ], - "D": [ 93 ], - "Z": [ 827 ] + "A": [ 40 ], + "B": [ 44 ], + "C": [ 22 ], + "D": [ 38 ], + "Z": [ 558 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.lut3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.lut3": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 4095 + "INIT": 65535 }, "attributes": { "module_not_derived": 1, @@ -28427,14 +22570,14 @@ "Z": "output" }, "connections": { - "A": [ 129 ], - "B": [ 824 ], - "C": [ 734 ], - "D": [ 93 ], - "Z": [ 828 ] + "A": [ 40 ], + "B": [ 44 ], + "C": [ 22 ], + "D": [ 38 ], + "Z": [ 559 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.mux50": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.mux50": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -28450,13 +22593,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 826 ], - "BLUT": [ 825 ], - "C0": [ 82 ], - "Z": [ 829 ] + "ALUT": [ 557 ], + "BLUT": [ 556 ], + "C0": [ 29 ], + "Z": [ 560 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.mux51": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.mux51": { "hide_name": 1, "type": "PFUMX", "parameters": { @@ -28472,13 +22615,13 @@ "Z": "output" }, "connections": { - "ALUT": [ 828 ], - "BLUT": [ 827 ], - "C0": [ 82 ], - "Z": [ 830 ] + "ALUT": [ 559 ], + "BLUT": [ 558 ], + "C0": [ 29 ], + "Z": [ 561 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.mux6": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.mux6": { "hide_name": 1, "type": "L6MUX21", "parameters": { @@ -28494,17 +22637,114 @@ "Z": "output" }, "connections": { - "D0": [ 829 ], - "D1": [ 830 ], - "SD": [ 87 ], - "Z": [ 821 ] + "D0": [ 560 ], + "D1": [ 561 ], + "SD": [ 34 ], + "Z": [ 555 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14533": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14370.lut0": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 248 + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 562 ], + "B": [ 206 ], + "C": [ 24 ], + "D": [ 25 ], + "Z": [ 563 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14370.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16378 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 562 ], + "B": [ 206 ], + "C": [ 24 ], + "D": [ 25 ], + "Z": [ 564 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14370.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 564 ], + "BLUT": [ 563 ], + "C0": [ 23 ], + "Z": [ 552 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14371": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65528 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 19 ], + "B": [ 61 ], + "C": [ 41 ], + "D": [ 125 ], + "Z": [ 562 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14372": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 }, "attributes": { "module_not_derived": 1, @@ -28518,14 +22758,1150 @@ "Z": "output" }, "connections": { - "A": [ 302 ], - "B": [ 318 ], - "C": [ 831 ], + "A": [ 565 ], + "B": [ 59 ], + "C": [ 38 ], "D": [ "0" ], - "Z": [ 832 ] + "Z": [ 547 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14534": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14373": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32447 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 61 ], + "B": [ 41 ], + "C": [ 125 ], + "D": [ 19 ], + "Z": [ 565 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14374": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65528 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 566 ], + "B": [ 567 ], + "C": [ 568 ], + "D": [ 569 ], + "Z": [ 570 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14375": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 51 ], + "B": [ 55 ], + "C": [ 571 ], + "D": [ "0" ], + "Z": [ 568 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14376.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 566 ], + "B": [ 572 ], + "C": [ 194 ], + "D": [ 54 ], + "Z": [ 573 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14376.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 566 ], + "B": [ 572 ], + "C": [ 194 ], + "D": [ 54 ], + "Z": [ 574 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14376.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 574 ], + "BLUT": [ 573 ], + "C0": [ 53 ], + "Z": [ 569 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14377": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 22 ], + "B": [ 39 ], + "C": [ 44 ], + "D": [ "0" ], + "Z": [ 567 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14378": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 575 ], + "B": [ 41 ], + "C": [ 186 ], + "D": [ 199 ], + "Z": [ 576 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14379": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 61 ], + "C": [ 186 ], + "D": [ 199 ], + "Z": [ 578 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14380.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 579 ], + "B": [ 580 ], + "C": [ 581 ], + "D": [ 582 ], + "Z": [ 583 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14380.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65534 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 579 ], + "B": [ 580 ], + "C": [ 581 ], + "D": [ 582 ], + "Z": [ 584 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14380.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 584 ], + "BLUT": [ 583 ], + "C0": [ 585 ], + "Z": [ 586 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14381": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], + "B": [ 51 ], + "C": [ 200 ], + "D": [ 18 ], + "Z": [ 585 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14382": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 61 ], + "C": [ 298 ], + "D": [ 19 ], + "Z": [ 579 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14383": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 139 ], + "B": [ 587 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 580 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14384": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 38 ], + "B": [ 40 ], + "C": [ 29 ], + "D": [ 34 ], + "Z": [ 587 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14385": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 208 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 588 ], + "B": [ 536 ], + "C": [ 301 ], + "D": [ "0" ], + "Z": [ 581 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14386.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 19 ], + "C": [ 125 ], + "D": [ 61 ], + "Z": [ 589 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14386.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8226 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 19 ], + "C": [ 125 ], + "D": [ 61 ], + "Z": [ 590 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14386.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 590 ], + "BLUT": [ 589 ], + "C0": [ 24 ], + "Z": [ 588 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14387.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 57344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 139 ], + "B": [ 24 ], + "C": [ 39 ], + "D": [ 51 ], + "Z": [ 591 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14387.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61166 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 139 ], + "B": [ 24 ], + "C": [ 39 ], + "D": [ 51 ], + "Z": [ 592 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14387.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 592 ], + "BLUT": [ 591 ], + "C0": [ 58 ], + "Z": [ 582 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14388": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 593 ], + "B": [ 125 ], + "C": [ 163 ], + "D": [ 199 ], + "Z": [ 594 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14389": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 595 ], + "B": [ 19 ], + "C": [ 163 ], + "D": [ 199 ], + "Z": [ 596 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14390": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 597 ], + "B": [ 41 ], + "C": [ 163 ], + "D": [ 199 ], + "Z": [ 598 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14391.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 599 ], + "B": [ 20 ], + "C": [ 19 ], + "D": [ 65 ], + "Z": [ 600 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14391.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61674 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 599 ], + "B": [ 20 ], + "C": [ 19 ], + "D": [ 65 ], + "Z": [ 601 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14391.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 601 ], + "BLUT": [ 600 ], + "C0": [ 199 ], + "Z": [ 602 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14392.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 603 ], + "B": [ 20 ], + "C": [ 41 ], + "D": [ 65 ], + "Z": [ 604 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14392.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61674 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 603 ], + "B": [ 20 ], + "C": [ 41 ], + "D": [ 65 ], + "Z": [ 605 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14392.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 605 ], + "BLUT": [ 604 ], + "C0": [ 199 ], + "Z": [ 606 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 607 ], + "B": [ 608 ], + "C": [ 609 ], + "D": [ 610 ], + "Z": [ 611 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 607 ], + "B": [ 608 ], + "C": [ 609 ], + "D": [ 610 ], + "Z": [ 612 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 607 ], + "B": [ 608 ], + "C": [ 609 ], + "D": [ 610 ], + "Z": [ 613 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 607 ], + "B": [ 608 ], + "C": [ 609 ], + "D": [ 610 ], + "Z": [ 614 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 607 ], + "B": [ 608 ], + "C": [ 609 ], + "D": [ 610 ], + "Z": [ 615 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 607 ], + "B": [ 608 ], + "C": [ 609 ], + "D": [ 610 ], + "Z": [ 616 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65534 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 607 ], + "B": [ 608 ], + "C": [ 609 ], + "D": [ 610 ], + "Z": [ 617 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 607 ], + "B": [ 608 ], + "C": [ 609 ], + "D": [ 610 ], + "Z": [ 618 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 612 ], + "BLUT": [ 611 ], + "C0": [ 619 ], + "Z": [ 620 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 614 ], + "BLUT": [ 613 ], + "C0": [ 619 ], + "Z": [ 621 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 616 ], + "BLUT": [ 615 ], + "C0": [ 619 ], + "Z": [ 622 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 618 ], + "BLUT": [ 617 ], + "C0": [ 619 ], + "Z": [ 623 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 620 ], + "D1": [ 621 ], + "SD": [ 127 ], + "Z": [ 624 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 622 ], + "D1": [ 623 ], + "SD": [ 127 ], + "Z": [ 625 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 624 ], + "D1": [ 625 ], + "SD": [ 626 ], + "Z": [ 627 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14394": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28543,14 +23919,483 @@ "Z": "output" }, "connections": { - "A": [ 93 ], - "B": [ 239 ], + "A": [ 301 ], + "B": [ 315 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 831 ] + "Z": [ 607 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14535": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14395": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3976 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 51 ], + "B": [ 39 ], + "C": [ 139 ], + "D": [ 58 ], + "Z": [ 608 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14396": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63488 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 201 ], + "B": [ 34 ], + "C": [ 628 ], + "D": [ 200 ], + "Z": [ 609 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14397": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 19 ], + "B": [ 125 ], + "C": [ 41 ], + "D": [ 61 ], + "Z": [ 628 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14398.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 61 ], + "C": [ 125 ], + "D": [ 19 ], + "Z": [ 629 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14398.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 61 ], + "C": [ 125 ], + "D": [ 19 ], + "Z": [ 630 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14398.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 630 ], + "BLUT": [ 629 ], + "C0": [ 301 ], + "Z": [ 610 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14399.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 61 ], + "C": [ 19 ], + "D": [ 125 ], + "Z": [ 631 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14399.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4100 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 61 ], + "C": [ 19 ], + "D": [ 125 ], + "Z": [ 632 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14399.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 632 ], + "BLUT": [ 631 ], + "C0": [ 298 ], + "Z": [ 619 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14400": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 13063 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 34 ], + "B": [ 199 ], + "C": [ 587 ], + "D": [ 139 ], + "Z": [ 626 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14401": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 61 ], + "C": [ 163 ], + "D": [ 199 ], + "Z": [ 634 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14402": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 635 ], + "B": [ 125 ], + "C": [ 193 ], + "D": [ 199 ], + "Z": [ 636 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14403": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 637 ], + "B": [ 19 ], + "C": [ 193 ], + "D": [ 199 ], + "Z": [ 638 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14404": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 639 ], + "B": [ 41 ], + "C": [ 193 ], + "D": [ 199 ], + "Z": [ 640 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14405": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 641 ], + "B": [ 61 ], + "C": [ 193 ], + "D": [ 199 ], + "Z": [ 642 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14406": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 125 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 643 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14407": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 61 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 644 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14408": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 248 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 48 ], + "B": [ 194 ], + "C": [ 645 ], + "D": [ "0" ], + "Z": [ 646 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14409": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 51 ], + "B": [ 29 ], + "C": [ 34 ], + "D": [ "0" ], + "Z": [ 645 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14410": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28572,10 +24417,10 @@ "B": [ 2 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 833 ] + "Z": [ 647 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14536": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14411": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28594,13 +24439,13 @@ }, "connections": { "A": [ 4 ], - "B": [ 834 ], + "B": [ 648 ], "C": [ 2 ], - "D": [ 835 ], - "Z": [ 836 ] + "D": [ 649 ], + "Z": [ 650 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14537": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14412": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28620,12 +24465,569 @@ "connections": { "A": [ 4 ], "B": [ 2 ], - "C": [ 834 ], - "D": [ 837 ], - "Z": [ 838 ] + "C": [ 648 ], + "D": [ 651 ], + "Z": [ 652 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14538": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14413.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 653 ], + "C": [ 426 ], + "D": [ 47 ], + "Z": [ 654 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14413.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 653 ], + "C": [ 426 ], + "D": [ 47 ], + "Z": [ 655 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14413.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 655 ], + "BLUT": [ 654 ], + "C0": [ 656 ], + "Z": [ 657 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14414.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 481 ], + "B": [ 658 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 659 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14414.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 481 ], + "B": [ 658 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 660 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14414.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 660 ], + "BLUT": [ 659 ], + "C0": [ 54 ], + "Z": [ 656 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14415.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 661 ], + "C": [ 192 ], + "D": [ 47 ], + "Z": [ 662 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14415.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 661 ], + "C": [ 192 ], + "D": [ 47 ], + "Z": [ 663 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14415.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 663 ], + "BLUT": [ 662 ], + "C0": [ 664 ], + "Z": [ 665 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14416.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 484 ], + "B": [ 666 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 667 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14416.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 484 ], + "B": [ 666 ], + "C": [ 194 ], + "D": [ 53 ], + "Z": [ 668 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14416.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 668 ], + "BLUT": [ 667 ], + "C0": [ 54 ], + "Z": [ 664 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14417": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65528 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 669 ], + "B": [ 567 ], + "C": [ 670 ], + "D": [ 671 ], + "Z": [ 672 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14418": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 51 ], + "B": [ 55 ], + "C": [ 673 ], + "D": [ "0" ], + "Z": [ 670 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14419.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 669 ], + "B": [ 674 ], + "C": [ 194 ], + "D": [ 54 ], + "Z": [ 675 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14419.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 669 ], + "B": [ 674 ], + "C": [ 194 ], + "D": [ 54 ], + "Z": [ 676 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14419.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 676 ], + "BLUT": [ 675 ], + "C0": [ 53 ], + "Z": [ 671 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14420": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65528 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 677 ], + "B": [ 567 ], + "C": [ 678 ], + "D": [ 679 ], + "Z": [ 680 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14421": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 51 ], + "B": [ 55 ], + "C": [ 681 ], + "D": [ "0" ], + "Z": [ 678 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14422.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 677 ], + "B": [ 682 ], + "C": [ 194 ], + "D": [ 54 ], + "Z": [ 683 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14422.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 677 ], + "B": [ 682 ], + "C": [ 194 ], + "D": [ 54 ], + "Z": [ 684 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14422.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 684 ], + "BLUT": [ 683 ], + "C0": [ 53 ], + "Z": [ 679 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14423": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 48 ], + "B": [ 42 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 685 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14424": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28643,14 +25045,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 503 ], + "A": [ 645 ], + "B": [ 488 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 839 ] + "Z": [ 686 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14539": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14425": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28668,14 +25070,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 505 ], + "A": [ 645 ], + "B": [ 490 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 840 ] + "Z": [ 687 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14540": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14426": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28693,14 +25095,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 507 ], + "A": [ 645 ], + "B": [ 492 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 841 ] + "Z": [ 688 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14541": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14427": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28718,14 +25120,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 509 ], + "A": [ 645 ], + "B": [ 494 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 842 ] + "Z": [ 689 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14542": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14428": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28743,14 +25145,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 511 ], + "A": [ 645 ], + "B": [ 496 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 843 ] + "Z": [ 690 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14543": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14429": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28768,14 +25170,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 513 ], + "A": [ 645 ], + "B": [ 498 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 844 ] + "Z": [ 691 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14544": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14430": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28793,14 +25195,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 515 ], + "A": [ 645 ], + "B": [ 500 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 845 ] + "Z": [ 692 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14545": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14431": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28818,14 +25220,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 517 ], + "A": [ 645 ], + "B": [ 502 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 846 ] + "Z": [ 693 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14546": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14432": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28843,14 +25245,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 519 ], + "A": [ 645 ], + "B": [ 504 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 847 ] + "Z": [ 694 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14547": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14433": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28868,14 +25270,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 521 ], + "A": [ 645 ], + "B": [ 506 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 848 ] + "Z": [ 695 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14548": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14434": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28893,14 +25295,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 523 ], + "A": [ 645 ], + "B": [ 508 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 849 ] + "Z": [ 696 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14549": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14435": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28918,14 +25320,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 525 ], + "A": [ 645 ], + "B": [ 510 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 850 ] + "Z": [ 697 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14550": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14436": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28943,14 +25345,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 527 ], + "A": [ 645 ], + "B": [ 512 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 851 ] + "Z": [ 698 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14551": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14437": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28968,14 +25370,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 529 ], + "A": [ 645 ], + "B": [ 514 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 852 ] + "Z": [ 699 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14552": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14438": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -28993,14 +25395,14 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 531 ], + "A": [ 645 ], + "B": [ 516 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 853 ] + "Z": [ 700 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14553": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14439": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -29018,14 +25420,64 @@ "Z": "output" }, "connections": { - "A": [ 831 ], - "B": [ 533 ], + "A": [ 645 ], + "B": [ 518 ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 854 ] + "Z": [ 701 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14554": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14440": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 702 ], + "B": [ 125 ], + "C": [ 186 ], + "D": [ 199 ], + "Z": [ 703 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14441": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 254 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 48 ], + "C": [ 49 ], + "D": [ "0" ], + "Z": [ 704 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14442": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -29043,39 +25495,14 @@ "Z": "output" }, "connections": { - "A": [ 151 ], + "A": [ 648 ], "B": [ "0" ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 855 ] + "Z": [ 705 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14555": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:60" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 235 ], - "B": [ "0" ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 856 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14556": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14443": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -29097,10 +25524,10 @@ "B": [ "0" ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 857 ] + "Z": [ 706 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14557": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14444": { "hide_name": 1, "type": "LUT4", "parameters": { @@ -29118,18 +25545,43 @@ "Z": "output" }, "connections": { - "A": [ 834 ], + "A": [ 148 ], "B": [ "0" ], "C": [ "0" ], "D": [ "0" ], - "Z": [ 858 ] + "Z": [ 707 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14558": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14445": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 254 + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:60" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 152 ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 708 ] + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14446": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 191 }, "attributes": { "module_not_derived": 1, @@ -29143,22 +25595,22 @@ "Z": "output" }, "connections": { - "A": [ 302 ], - "B": [ 375 ], - "C": [ 326 ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 859 ] + "Z": [ 709 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14559": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14447": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29168,22 +25620,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 860 ] + "Z": [ 710 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14560": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14448": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29193,22 +25645,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 861 ] + "Z": [ 711 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14561": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14449": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29218,22 +25670,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 862 ] + "Z": [ 712 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14562": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14450": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29243,22 +25695,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 863 ] + "Z": [ 713 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14563": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14451": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29268,22 +25720,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 864 ] + "Z": [ 714 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14564": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14452": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29293,22 +25745,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 865 ] + "Z": [ 715 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14565": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14453": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29318,22 +25770,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 866 ] + "Z": [ 716 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14566": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14454": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29343,22 +25795,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 867 ] + "Z": [ 717 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14567": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14455": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29368,22 +25820,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 868 ] + "Z": [ 718 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14568": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14456": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29393,22 +25845,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 869 ] + "Z": [ 719 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14569": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14461": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29418,22 +25870,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 870 ] + "Z": [ 720 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14577": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14463": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29443,22 +25895,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 871 ] + "Z": [ 721 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14579": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14464": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 11 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29468,22 +25920,22 @@ "Z": "output" }, "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 872 ] + "Z": [ 722 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14580": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14465": { "hide_name": 1, "type": "LUT4", "parameters": { - "INIT": 8 + "INIT": 191 }, "attributes": { "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" }, "port_directions": { "A": "input", @@ -29493,564 +25945,14 @@ "Z": "output" }, "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], + "A": [ 20 ], + "B": [ 56 ], + "C": [ 42 ], "D": [ "0" ], - "Z": [ 873 ] + "Z": [ 723 ] } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14581": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 11 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 874 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14582": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 875 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14583": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 11 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 71 ], - "B": [ 156 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 876 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14584": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 877 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14585": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 878 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14588": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 879 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14589": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 880 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14591": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 881 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14592": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 882 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14593": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 883 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14594": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 884 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14596": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 885 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14598": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 886 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14599": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 887 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14600": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 888 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14601": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 889 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14603": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 890 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14610": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 891 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14612": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 4 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 280 ], - "B": [ 237 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 892 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14624": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 893 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14626": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 894 ] - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14642": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 237 ], - "B": [ 235 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 895 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$7487.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7474.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30080,19 +25982,19 @@ "connections": { "A0": [ "1" ], "A1": [ "0" ], - "B0": [ 123 ], - "B1": [ 141 ], + "B0": [ 70 ], + "B1": [ 71 ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "0" ], - "COUT": [ 896 ], + "COUT": [ 724 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 122 ], - "S1": [ 147 ] + "S0": [ 138 ], + "S1": [ 153 ] } }, - "$auto$alumacc.cc:474:replace_alu$7487.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7474.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30122,19 +26024,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 143 ], - "B1": [ 145 ], + "B0": [ 68 ], + "B1": [ 69 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 896 ], - "COUT": [ 897 ], + "CIN": [ 724 ], + "COUT": [ 725 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 152 ], - "S1": [ 154 ] + "S0": [ 155 ], + "S1": [ 157 ] } }, - "$auto$alumacc.cc:474:replace_alu$7490.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7477.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30162,21 +26064,21 @@ "S1": "output" }, "connections": { - "A0": [ 770 ], - "A1": [ 296 ], - "B0": [ 215 ], - "B1": [ 172 ], + "A0": [ 236 ], + "A1": [ 599 ], + "B0": [ 217 ], + "B1": [ 168 ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "0" ], - "COUT": [ 898 ], + "COUT": [ 726 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 372 ], - "S1": [ 794 ] + "S0": [ 282 ], + "S1": [ 522 ] } }, - "$auto$alumacc.cc:474:replace_alu$7490.slice[10].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7477.slice[10].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30204,21 +26106,105 @@ "S1": "output" }, "connections": { - "A0": [ 774 ], - "A1": [ 780 ], - "B0": [ 187 ], + "A0": [ 597 ], + "A1": [ 633 ], + "B0": [ 177 ], + "B1": [ 181 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 727 ], + "COUT": [ 728 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 379 ], + "S1": [ 388 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7477.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "opcodes/6xxx_GOTO.v:28|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 635 ], + "A1": [ 637 ], + "B0": [ 398 ], + "B1": [ 408 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 728 ], + "COUT": [ 729 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 397 ], + "S1": [ 407 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7477.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "opcodes/6xxx_GOTO.v:28|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 639 ], + "A1": [ 641 ], + "B0": [ 426 ], "B1": [ 192 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 899 ], - "COUT": [ 900 ], + "CIN": [ 729 ], + "COUT": [ 730 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 332 ], - "S1": [ 489 ] + "S0": [ 653 ], + "S1": [ 661 ] } }, - "$auto$alumacc.cc:474:replace_alu$7490.slice[12].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7477.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30246,21 +26232,21 @@ "S1": "output" }, "connections": { - "A0": [ 782 ], - "A1": [ 784 ], - "B0": [ 482 ], - "B1": [ 476 ], + "A0": [ 603 ], + "A1": [ 232 ], + "B0": [ 207 ], + "B1": [ 60 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 900 ], - "COUT": [ 901 ], + "CIN": [ 726 ], + "COUT": [ 731 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 481 ], - "S1": [ 812 ] + "S0": [ 326 ], + "S1": [ 340 ] } }, - "$auto$alumacc.cc:474:replace_alu$7490.slice[14].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7477.slice[4].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30288,21 +26274,21 @@ "S1": "output" }, "connections": { - "A0": [ 786 ], - "A1": [ 788 ], - "B0": [ 734 ], - "B1": [ 197 ], + "A0": [ 702 ], + "A1": [ 530 ], + "B0": [ 226 ], + "B1": [ 185 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 901 ], - "COUT": [ 902 ], + "CIN": [ 731 ], + "COUT": [ 732 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 824 ], - "S1": [ 339 ] + "S0": [ 438 ], + "S1": [ 442 ] } }, - "$auto$alumacc.cc:474:replace_alu$7490.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7477.slice[6].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30330,21 +26316,21 @@ "S1": "output" }, "connections": { - "A0": [ 499 ], - "A1": [ 693 ], - "B0": [ 204 ], - "B1": [ 418 ], + "A0": [ 575 ], + "A1": [ 577 ], + "B0": [ 216 ], + "B1": [ 230 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 898 ], - "COUT": [ 903 ], + "CIN": [ 732 ], + "COUT": [ 733 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 376 ], - "S1": [ 796 ] + "S0": [ 343 ], + "S1": [ 352 ] } }, - "$auto$alumacc.cc:474:replace_alu$7490.slice[4].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7477.slice[8].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30372,189 +26358,105 @@ "S1": "output" }, "connections": { - "A0": [ 689 ], - "A1": [ 691 ], - "B0": [ 220 ], - "B1": [ 182 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 903 ], - "COUT": [ 904 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 390 ], - "S1": [ 808 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$7490.slice[6].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "opcodes/6xxx_GOTO.v:28|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 738 ], - "A1": [ 740 ], - "B0": [ 209 ], - "B1": [ 224 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 904 ], - "COUT": [ 905 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 398 ], - "S1": [ 315 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$7490.slice[8].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "opcodes/6xxx_GOTO.v:28|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 759 ], - "A1": [ 761 ], + "A0": [ 593 ], + "A1": [ 595 ], "B0": [ 162 ], - "B1": [ 178 ], + "B1": [ 167 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 905 ], - "COUT": [ 899 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 768 ], - "S1": [ 323 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$7493.slice[0].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "opcodes/8[DF]xxxxx_GO.v:15|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ "1" ], - "A1": [ "0" ], - "B0": [ 348 ], - "B1": [ 355 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ "0" ], - "COUT": [ 906 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 347 ], - "S1": [ 354 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$7493.slice[2].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "opcodes/8[DF]xxxxx_GO.v:15|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ "0" ], - "A1": [ "0" ], - "B0": [ 362 ], - "B1": [ "0" ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 906 ], - "COUT": [ 907 ], + "CIN": [ 733 ], + "COUT": [ 727 ], "D0": [ "1" ], "D1": [ "1" ], "S0": [ 361 ], - "S1": [ 908 ] + "S1": [ 370 ] } }, - "$auto$alumacc.cc:474:replace_alu$7496.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7480.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "opcodes/8[DF]xxxxx_GO.v:15|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 677 ], + "B1": [ 669 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 734 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 681 ], + "S1": [ 673 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7480.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "opcodes/8[DF]xxxxx_GO.v:15|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 566 ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 734 ], + "COUT": [ 735 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 571 ], + "S1": [ 736 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7483.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30584,19 +26486,19 @@ "connections": { "A0": [ "1" ], "A1": [ "0" ], - "B0": [ 216 ], - "B1": [ 174 ], + "B0": [ 222 ], + "B1": [ 173 ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "0" ], - "COUT": [ 909 ], + "COUT": [ 737 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 503 ], - "S1": [ 505 ] + "S0": [ 488 ], + "S1": [ 490 ] } }, - "$auto$alumacc.cc:474:replace_alu$7496.slice[10].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7483.slice[10].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30626,19 +26528,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 185 ], - "B1": [ 190 ], + "B0": [ 174 ], + "B1": [ 178 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 910 ], - "COUT": [ 911 ], + "CIN": [ 738 ], + "COUT": [ 739 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 523 ], - "S1": [ 525 ] + "S0": [ 508 ], + "S1": [ 510 ] } }, - "$auto$alumacc.cc:474:replace_alu$7496.slice[12].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7483.slice[12].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30668,19 +26570,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 497 ], - "B1": [ 474 ], + "B0": [ 417 ], + "B1": [ 420 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 911 ], - "COUT": [ 912 ], + "CIN": [ 739 ], + "COUT": [ 740 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 527 ], - "S1": [ 529 ] + "S0": [ 512 ], + "S1": [ 514 ] } }, - "$auto$alumacc.cc:474:replace_alu$7496.slice[14].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7483.slice[14].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30710,19 +26612,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 732 ], - "B1": [ 195 ], + "B0": [ 423 ], + "B1": [ 189 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 912 ], - "COUT": [ 913 ], + "CIN": [ 740 ], + "COUT": [ 741 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 531 ], - "S1": [ 533 ] + "S0": [ 516 ], + "S1": [ 518 ] } }, - "$auto$alumacc.cc:474:replace_alu$7496.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7483.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30752,19 +26654,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 205 ], - "B1": [ 419 ], + "B0": [ 212 ], + "B1": [ 67 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 909 ], - "COUT": [ 914 ], + "CIN": [ 737 ], + "COUT": [ 742 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 507 ], - "S1": [ 509 ] + "S0": [ 492 ], + "S1": [ 494 ] } }, - "$auto$alumacc.cc:474:replace_alu$7496.slice[4].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7483.slice[4].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30794,19 +26696,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 218 ], - "B1": [ 180 ], + "B0": [ 223 ], + "B1": [ 182 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 914 ], - "COUT": [ 915 ], + "CIN": [ 742 ], + "COUT": [ 743 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 511 ], - "S1": [ 513 ] + "S0": [ 496 ], + "S1": [ 498 ] } }, - "$auto$alumacc.cc:474:replace_alu$7496.slice[6].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7483.slice[6].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30836,19 +26738,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 207 ], - "B1": [ 222 ], + "B0": [ 213 ], + "B1": [ 227 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 915 ], - "COUT": [ 916 ], + "CIN": [ 743 ], + "COUT": [ 744 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 515 ], - "S1": [ 517 ] + "S0": [ 500 ], + "S1": [ 502 ] } }, - "$auto$alumacc.cc:474:replace_alu$7496.slice[8].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7483.slice[8].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30878,19 +26780,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 160 ], - "B1": [ 176 ], + "B0": [ 159 ], + "B1": [ 164 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 916 ], - "COUT": [ 910 ], + "CIN": [ 744 ], + "COUT": [ 738 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 519 ], - "S1": [ 521 ] + "S0": [ 504 ], + "S1": [ 506 ] } }, - "$auto$alumacc.cc:474:replace_alu$7499.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7486.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30901,7 +26803,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:369|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:366|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -30920,19 +26822,19 @@ "connections": { "A0": [ "1" ], "A1": [ "0" ], - "B0": [ 225 ], - "B1": [ 226 ], + "B0": [ 240 ], + "B1": [ 241 ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "0" ], - "COUT": [ 917 ], + "COUT": [ 745 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 918 ], - "S1": [ 919 ] + "S0": [ 746 ], + "S1": [ 747 ] } }, - "$auto$alumacc.cc:474:replace_alu$7499.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7486.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -30943,7 +26845,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:369|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + "src": "saturn_core.v:366|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" }, "port_directions": { "A0": "input", @@ -30962,19 +26864,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 227 ], - "B1": [ 228 ], + "B0": [ 242 ], + "B1": [ 243 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 917 ], - "COUT": [ 920 ], + "CIN": [ 745 ], + "COUT": [ 748 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 921 ], - "S1": [ 922 ] + "S0": [ 749 ], + "S1": [ 750 ] } }, - "$auto$alumacc.cc:474:replace_alu$7502.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7489.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31002,21 +26904,21 @@ "S1": "output" }, "connections": { - "A0": [ 348 ], - "A1": [ 355 ], + "A0": [ 677 ], + "A1": [ 669 ], "B0": [ "0" ], "B1": [ "1" ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "1" ], - "COUT": [ 923 ], + "COUT": [ 751 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 349 ], - "S1": [ 356 ] + "S0": [ 682 ], + "S1": [ 674 ] } }, - "$auto$alumacc.cc:474:replace_alu$7502.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7489.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31044,21 +26946,21 @@ "S1": "output" }, "connections": { - "A0": [ 362 ], + "A0": [ 566 ], "A1": [ "0" ], "B0": [ "1" ], "B1": [ "1" ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 923 ], - "COUT": [ 924 ], + "CIN": [ 751 ], + "COUT": [ 752 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 363 ], - "S1": [ 925 ] + "S0": [ 572 ], + "S1": [ 753 ] } }, - "$auto$alumacc.cc:474:replace_alu$7505.slice[0].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7492.slice[0].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31088,19 +26990,19 @@ "connections": { "A0": [ "1" ], "A1": [ "0" ], - "B0": [ 926 ], - "B1": [ 927 ], + "B0": [ 754 ], + "B1": [ 755 ], "C0": [ "0" ], "C1": [ "0" ], "CIN": [ "0" ], - "COUT": [ 928 ], + "COUT": [ 756 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 68 ], - "S1": [ 65 ] + "S0": [ 122 ], + "S1": [ 119 ] } }, - "$auto$alumacc.cc:474:replace_alu$7505.slice[10].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7492.slice[10].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31130,19 +27032,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 929 ], - "B1": [ 930 ], + "B0": [ 757 ], + "B1": [ 758 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 931 ], - "COUT": [ 932 ], + "CIN": [ 759 ], + "COUT": [ 760 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 38 ], - "S1": [ 35 ] + "S0": [ 92 ], + "S1": [ 89 ] } }, - "$auto$alumacc.cc:474:replace_alu$7505.slice[12].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7492.slice[12].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31172,19 +27074,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 933 ], - "B1": [ 934 ], + "B0": [ 761 ], + "B1": [ 762 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 932 ], - "COUT": [ 935 ], + "CIN": [ 760 ], + "COUT": [ 763 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 32 ], - "S1": [ 29 ] + "S0": [ 86 ], + "S1": [ 83 ] } }, - "$auto$alumacc.cc:474:replace_alu$7505.slice[14].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7492.slice[14].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31214,19 +27116,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 231 ], - "B1": [ 230 ], + "B0": [ 246 ], + "B1": [ 245 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 935 ], - "COUT": [ 936 ], + "CIN": [ 763 ], + "COUT": [ 764 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 26 ], - "S1": [ 18 ] + "S0": [ 80 ], + "S1": [ 72 ] } }, - "$auto$alumacc.cc:474:replace_alu$7505.slice[2].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7492.slice[2].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31256,19 +27158,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 937 ], - "B1": [ 938 ], + "B0": [ 765 ], + "B1": [ 766 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 928 ], - "COUT": [ 939 ], + "CIN": [ 756 ], + "COUT": [ 767 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 62 ], - "S1": [ 59 ] + "S0": [ 116 ], + "S1": [ 113 ] } }, - "$auto$alumacc.cc:474:replace_alu$7505.slice[4].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7492.slice[4].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31298,19 +27200,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 940 ], - "B1": [ 941 ], + "B0": [ 768 ], + "B1": [ 769 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 939 ], - "COUT": [ 942 ], + "CIN": [ 767 ], + "COUT": [ 770 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 56 ], - "S1": [ 53 ] + "S0": [ 110 ], + "S1": [ 107 ] } }, - "$auto$alumacc.cc:474:replace_alu$7505.slice[6].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7492.slice[6].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31340,19 +27242,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 943 ], - "B1": [ 944 ], + "B0": [ 771 ], + "B1": [ 772 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 942 ], - "COUT": [ 945 ], + "CIN": [ 770 ], + "COUT": [ 773 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 50 ], - "S1": [ 47 ] + "S0": [ 104 ], + "S1": [ 101 ] } }, - "$auto$alumacc.cc:474:replace_alu$7505.slice[8].ccu2c_i": { + "$auto$alumacc.cc:474:replace_alu$7492.slice[8].ccu2c_i": { "hide_name": 1, "type": "CCU2C", "parameters": { @@ -31382,19 +27284,19 @@ "connections": { "A0": [ "0" ], "A1": [ "0" ], - "B0": [ 946 ], - "B1": [ 947 ], + "B0": [ 774 ], + "B1": [ 775 ], "C0": [ "0" ], "C1": [ "0" ], - "CIN": [ 945 ], - "COUT": [ 931 ], + "CIN": [ 773 ], + "COUT": [ 759 ], "D0": [ "1" ], "D1": [ "1" ], - "S0": [ 44 ], - "S1": [ 41 ] + "S0": [ 98 ], + "S1": [ 95 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$8087": { + "$auto$simplemap.cc:420:simplemap_dff$8073": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -31415,13 +27317,13 @@ "Q": "output" }, "connections": { - "CLK": [ 836 ], - "DI": [ 234 ], + "CLK": [ 650 ], + "DI": [ 249 ], "LSR": [ "0" ], - "Q": [ 253 ] + "Q": [ 255 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$8153": { + "$auto$simplemap.cc:420:simplemap_dff$8140": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -31442,13 +27344,13 @@ "Q": "output" }, "connections": { - "CLK": [ 836 ], - "DI": [ 233 ], + "CLK": [ 650 ], + "DI": [ 248 ], "LSR": [ "0" ], - "Q": [ 249 ] + "Q": [ 251 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$8219": { + "$auto$simplemap.cc:420:simplemap_dff$8206": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -31469,13 +27371,13 @@ "Q": "output" }, "connections": { - "CLK": [ 836 ], - "DI": [ 232 ], + "CLK": [ 650 ], + "DI": [ 247 ], "LSR": [ "0" ], - "Q": [ 254 ] + "Q": [ 256 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9343": { + "$auto$simplemap.cc:420:simplemap_dff$9294": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -31497,14 +27399,14 @@ "Q": "output" }, "connections": { - "CE": [ 431 ], - "CLK": [ 838 ], - "DI": [ 410 ], + "CE": [ 198 ], + "CLK": [ 652 ], + "DI": [ 205 ], "LSR": [ "0" ], - "Q": [ 236 ] + "Q": [ 202 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9344": { + "$auto$simplemap.cc:420:simplemap_dff$9295": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -31526,14 +27428,14 @@ "Q": "output" }, "connections": { - "CE": [ 758 ], - "CLK": [ 838 ], - "DI": [ 590 ], + "CE": [ 322 ], + "CLK": [ 652 ], + "DI": [ 540 ], "LSR": [ "0" ], - "Q": [ 82 ] + "Q": [ 29 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9345": { + "$auto$simplemap.cc:420:simplemap_dff$9296": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -31555,14 +27457,14 @@ "Q": "output" }, "connections": { - "CE": [ 758 ], - "CLK": [ 838 ], - "DI": [ 708 ], + "CE": [ 322 ], + "CLK": [ 652 ], + "DI": [ 627 ], "LSR": [ "0" ], - "Q": [ 87 ] + "Q": [ 34 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9346": { + "$auto$simplemap.cc:420:simplemap_dff$9297": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -31584,11 +27486,1199 @@ "Q": "output" }, "connections": { - "CE": [ 758 ], - "CLK": [ 838 ], - "DI": [ 545 ], + "CE": [ 322 ], + "CLK": [ 652 ], + "DI": [ 586 ], "LSR": [ "0" ], - "Q": [ 77 ] + "Q": [ 24 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9298": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 322 ], + "CLK": [ 652 ], + "DI": [ 295 ], + "LSR": [ "0" ], + "Q": [ 25 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9299": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 322 ], + "CLK": [ 652 ], + "DI": [ 535 ], + "LSR": [ "0" ], + "Q": [ 37 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9300": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 322 ], + "CLK": [ 652 ], + "DI": [ "0" ], + "LSR": [ "0" ], + "Q": [ 26 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9301": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 322 ], + "CLK": [ 652 ], + "DI": [ 325 ], + "LSR": [ "0" ], + "Q": [ 27 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9302": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 322 ], + "CLK": [ 652 ], + "DI": [ "0" ], + "LSR": [ "0" ], + "Q": [ 28 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9303": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:22" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 652 ], + "DI": [ 195 ], + "LSR": [ 50 ], + "Q": [ 150 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9304": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 286 ], + "LSR": [ "0" ], + "Q": [ 287 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9305": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 526 ], + "LSR": [ "0" ], + "Q": [ 454 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9306": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 330 ], + "LSR": [ "0" ], + "Q": [ 331 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9307": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 339 ], + "LSR": [ "0" ], + "Q": [ 341 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9308": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 437 ], + "LSR": [ "0" ], + "Q": [ 439 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9309": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 446 ], + "LSR": [ "0" ], + "Q": [ 447 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9310": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 347 ], + "LSR": [ "0" ], + "Q": [ 348 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9311": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 356 ], + "LSR": [ "0" ], + "Q": [ 357 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9312": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 365 ], + "LSR": [ "0" ], + "Q": [ 366 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9313": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 374 ], + "LSR": [ "0" ], + "Q": [ 375 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9314": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 383 ], + "LSR": [ "0" ], + "Q": [ 384 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9315": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 392 ], + "LSR": [ "0" ], + "Q": [ 393 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9316": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 402 ], + "LSR": [ "0" ], + "Q": [ 403 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9317": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 412 ], + "LSR": [ "0" ], + "Q": [ 413 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9318": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 657 ], + "LSR": [ "0" ], + "Q": [ 481 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9319": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 704 ], + "CLK": [ 652 ], + "DI": [ 665 ], + "LSR": [ "0" ], + "Q": [ 484 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9324": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 685 ], + "CLK": [ 652 ], + "DI": [ 680 ], + "LSR": [ "0" ], + "Q": [ 677 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9325": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 685 ], + "CLK": [ 652 ], + "DI": [ 672 ], + "LSR": [ "0" ], + "Q": [ 669 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9326": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 685 ], + "CLK": [ 652 ], + "DI": [ 570 ], + "LSR": [ "0" ], + "Q": [ 566 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9327": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 715 ], + "CLK": [ 652 ], + "DI": [ 221 ], + "LSR": [ "0" ], + "Q": [ 217 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9328": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 711 ], + "CLK": [ 652 ], + "DI": [ 172 ], + "LSR": [ "0" ], + "Q": [ 168 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9329": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 716 ], + "CLK": [ 652 ], + "DI": [ 211 ], + "LSR": [ "0" ], + "Q": [ 207 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9330": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 57 ], + "CLK": [ 652 ], + "DI": [ 66 ], + "LSR": [ "0" ], + "Q": [ 60 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9331": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 718 ], + "CLK": [ 652 ], + "DI": [ 225 ], + "LSR": [ "0" ], + "Q": [ 226 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9332": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 713 ], + "CLK": [ 652 ], + "DI": [ 184 ], + "LSR": [ "0" ], + "Q": [ 185 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9333": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 717 ], + "CLK": [ 652 ], + "DI": [ 215 ], + "LSR": [ "0" ], + "Q": [ 216 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9334": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 719 ], + "CLK": [ 652 ], + "DI": [ 229 ], + "LSR": [ "0" ], + "Q": [ 230 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9335": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 709 ], + "CLK": [ 652 ], + "DI": [ 161 ], + "LSR": [ "0" ], + "Q": [ 162 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9336": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 710 ], + "CLK": [ 652 ], + "DI": [ 166 ], + "LSR": [ "0" ], + "Q": [ 167 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9337": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 712 ], + "CLK": [ 652 ], + "DI": [ 176 ], + "LSR": [ "0" ], + "Q": [ 177 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9338": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 720 ], + "CLK": [ 652 ], + "DI": [ 180 ], + "LSR": [ "0" ], + "Q": [ 181 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9339": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 721 ], + "CLK": [ 652 ], + "DI": [ 419 ], + "LSR": [ "0" ], + "Q": [ 398 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9340": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 722 ], + "CLK": [ 652 ], + "DI": [ 422 ], + "LSR": [ "0" ], + "Q": [ 408 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9341": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 723 ], + "CLK": [ 652 ], + "DI": [ 425 ], + "LSR": [ "0" ], + "Q": [ 426 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9342": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 714 ], + "CLK": [ 652 ], + "DI": [ 191 ], + "LSR": [ "0" ], + "Q": [ 192 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9347": { @@ -31613,11 +28703,11 @@ "Q": "output" }, "connections": { - "CE": [ 758 ], - "CLK": [ 838 ], - "DI": [ 749 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 239 ], "LSR": [ "0" ], - "Q": [ 78 ] + "Q": [ 236 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9348": { @@ -31642,11 +28732,11 @@ "Q": "output" }, "connections": { - "CE": [ 758 ], - "CLK": [ 838 ], - "DI": [ 243 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 602 ], "LSR": [ "0" ], - "Q": [ 90 ] + "Q": [ 599 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9349": { @@ -31671,11 +28761,11 @@ "Q": "output" }, "connections": { - "CE": [ 758 ], - "CLK": [ 838 ], - "DI": [ "0" ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 606 ], "LSR": [ "0" ], - "Q": [ 79 ] + "Q": [ 603 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9350": { @@ -31700,11 +28790,11 @@ "Q": "output" }, "connections": { - "CE": [ 758 ], - "CLK": [ 838 ], - "DI": [ 779 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 235 ], "LSR": [ "0" ], - "Q": [ 80 ] + "Q": [ 232 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9351": { @@ -31729,39 +28819,40 @@ "Q": "output" }, "connections": { - "CE": [ 758 ], - "CLK": [ 838 ], - "DI": [ "0" ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 703 ], "LSR": [ "0" ], - "Q": [ 81 ] + "Q": [ 702 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9352": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", + "CEMUX": "CE", "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:22" + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 838 ], - "DI": [ 401 ], - "LSR": [ 535 ], - "Q": [ 149 ] + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 531 ], + "LSR": [ "0" ], + "Q": [ 530 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9353": { @@ -31786,11 +28877,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 371 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 576 ], "LSR": [ "0" ], - "Q": [ 373 ] + "Q": [ 575 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9354": { @@ -31815,11 +28906,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 793 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 578 ], "LSR": [ "0" ], - "Q": [ 436 ] + "Q": [ 577 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9355": { @@ -31844,11 +28935,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 381 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 594 ], "LSR": [ "0" ], - "Q": [ 382 ] + "Q": [ 593 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9356": { @@ -31873,11 +28964,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 801 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 596 ], "LSR": [ "0" ], - "Q": [ 441 ] + "Q": [ 595 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9357": { @@ -31902,11 +28993,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 389 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 598 ], "LSR": [ "0" ], - "Q": [ 391 ] + "Q": [ 597 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9358": { @@ -31931,11 +29022,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 807 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 634 ], "LSR": [ "0" ], - "Q": [ 446 ] + "Q": [ 633 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9359": { @@ -31960,11 +29051,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 397 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 636 ], "LSR": [ "0" ], - "Q": [ 399 ] + "Q": [ 635 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9360": { @@ -31989,11 +29080,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 305 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 638 ], "LSR": [ "0" ], - "Q": [ 316 ] + "Q": [ 637 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9361": { @@ -32018,11 +29109,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 767 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 640 ], "LSR": [ "0" ], - "Q": [ 453 ] + "Q": [ 639 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9362": { @@ -32047,127 +29138,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 322 ], + "CE": [ 231 ], + "CLK": [ 652 ], + "DI": [ 642 ], "LSR": [ "0" ], - "Q": [ 324 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9363": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 331 ], - "LSR": [ "0" ], - "Q": [ 333 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9364": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 488 ], - "LSR": [ "0" ], - "Q": [ 460 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9365": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 480 ], - "LSR": [ "0" ], - "Q": [ 463 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9366": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 817 ], - "LSR": [ "0" ], - "Q": [ 466 ] + "Q": [ 641 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9367": { @@ -32192,11 +29167,11 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 822 ], + "CE": [ 281 ], + "CLK": [ 652 ], + "DI": [ 126 ], "LSR": [ "0" ], - "Q": [ 469 ] + "Q": [ 776 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9368": { @@ -32221,11 +29196,127 @@ "Q": "output" }, "connections": { - "CE": [ 859 ], - "CLK": [ 838 ], - "DI": [ 338 ], + "CE": [ 432 ], + "CLK": [ 652 ], + "DI": [ 643 ], "LSR": [ "0" ], - "Q": [ 340 ] + "Q": [ 140 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9369": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 432 ], + "CLK": [ 652 ], + "DI": [ 21 ], + "LSR": [ "0" ], + "Q": [ 141 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9370": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 432 ], + "CLK": [ 652 ], + "DI": [ 43 ], + "LSR": [ "0" ], + "Q": [ 145 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9371": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 432 ], + "CLK": [ 652 ], + "DI": [ 644 ], + "LSR": [ "0" ], + "Q": [ 146 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9372": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 129 ], + "CLK": [ 652 ], + "DI": [ 137 ], + "LSR": [ "0" ], + "Q": [ 70 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9373": { @@ -32250,11 +29341,11 @@ "Q": "output" }, "connections": { - "CE": [ 342 ], - "CLK": [ 838 ], - "DI": [ 346 ], + "CE": [ 129 ], + "CLK": [ 652 ], + "DI": [ 154 ], "LSR": [ "0" ], - "Q": [ 348 ] + "Q": [ 71 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9374": { @@ -32279,11 +29370,11 @@ "Q": "output" }, "connections": { - "CE": [ 342 ], - "CLK": [ 838 ], - "DI": [ 353 ], + "CE": [ 129 ], + "CLK": [ 652 ], + "DI": [ 156 ], "LSR": [ "0" ], - "Q": [ 355 ] + "Q": [ 68 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9375": { @@ -32308,1174 +29399,350 @@ "Q": "output" }, "connections": { - "CE": [ 342 ], - "CLK": [ 838 ], - "DI": [ 360 ], + "CE": [ 129 ], + "CLK": [ 652 ], + "DI": [ 158 ], "LSR": [ "0" ], - "Q": [ 362 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9376": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 867 ], - "CLK": [ 838 ], - "DI": [ 214 ], - "LSR": [ "0" ], - "Q": [ 215 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9377": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 870 ], - "CLK": [ 838 ], - "DI": [ 171 ], - "LSR": [ "0" ], - "Q": [ 172 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9378": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 865 ], - "CLK": [ 838 ], - "DI": [ 203 ], - "LSR": [ "0" ], - "Q": [ 204 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9379": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 872 ], - "CLK": [ 838 ], - "DI": [ 417 ], - "LSR": [ "0" ], - "Q": [ 418 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9380": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 868 ], - "CLK": [ 838 ], - "DI": [ 219 ], - "LSR": [ "0" ], - "Q": [ 220 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9381": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 861 ], - "CLK": [ 838 ], - "DI": [ 181 ], - "LSR": [ "0" ], - "Q": [ 182 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9382": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 866 ], - "CLK": [ 838 ], - "DI": [ 208 ], - "LSR": [ "0" ], - "Q": [ 209 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9383": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 869 ], - "CLK": [ 838 ], - "DI": [ 223 ], - "LSR": [ "0" ], - "Q": [ 224 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9384": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 157 ], - "CLK": [ 838 ], - "DI": [ 161 ], - "LSR": [ "0" ], - "Q": [ 162 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9385": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 860 ], - "CLK": [ 838 ], - "DI": [ 177 ], - "LSR": [ "0" ], - "Q": [ 178 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9386": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 862 ], - "CLK": [ 838 ], - "DI": [ 186 ], - "LSR": [ "0" ], - "Q": [ 187 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9387": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 863 ], - "CLK": [ 838 ], - "DI": [ 191 ], - "LSR": [ "0" ], - "Q": [ 192 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9388": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 871 ], - "CLK": [ 838 ], - "DI": [ 498 ], - "LSR": [ "0" ], - "Q": [ 482 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9389": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 876 ], - "CLK": [ 838 ], - "DI": [ 475 ], - "LSR": [ "0" ], - "Q": [ 476 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9390": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 874 ], - "CLK": [ 838 ], - "DI": [ 733 ], - "LSR": [ "0" ], - "Q": [ 734 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9391": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 864 ], - "CLK": [ 838 ], - "DI": [ 196 ], - "LSR": [ "0" ], - "Q": [ 197 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9396": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 773 ], - "LSR": [ "0" ], - "Q": [ 770 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9397": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 300 ], - "LSR": [ "0" ], - "Q": [ 296 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9398": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 502 ], - "LSR": [ "0" ], - "Q": [ 499 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9399": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 696 ], - "LSR": [ "0" ], - "Q": [ 693 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9400": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 690 ], - "LSR": [ "0" ], - "Q": [ 689 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9401": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 692 ], - "LSR": [ "0" ], - "Q": [ 691 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9402": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 739 ], - "LSR": [ "0" ], - "Q": [ 738 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9403": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 741 ], - "LSR": [ "0" ], - "Q": [ 740 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9404": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 760 ], - "LSR": [ "0" ], - "Q": [ 759 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9405": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 762 ], - "LSR": [ "0" ], - "Q": [ 761 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9406": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 775 ], - "LSR": [ "0" ], - "Q": [ 774 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9407": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 781 ], - "LSR": [ "0" ], - "Q": [ 780 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9408": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 783 ], - "LSR": [ "0" ], - "Q": [ 782 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9409": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 785 ], - "LSR": [ "0" ], - "Q": [ 784 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9410": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 787 ], - "LSR": [ "0" ], - "Q": [ 786 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9411": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 295 ], - "CLK": [ 838 ], - "DI": [ 789 ], - "LSR": [ "0" ], - "Q": [ 788 ] + "Q": [ 69 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9416": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", + "CEMUX": "1", + "CLKMUX": "INV", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET" + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 365 ], - "CLK": [ 838 ], - "DI": [ 737 ], - "LSR": [ "0" ], - "Q": [ 736 ] + "CLK": [ 2 ], + "DI": [ 776 ], + "LSR": [ 244 ], + "Q": [ 10 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9417": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", + "CEMUX": "1", + "CLKMUX": "INV", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET" + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 367 ], - "CLK": [ 838 ], - "DI": [ 810 ], - "LSR": [ "0" ], - "Q": [ 140 ] + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 244 ], + "Q": [ 11 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9418": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", + "CEMUX": "1", + "CLKMUX": "INV", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET" + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 367 ], - "CLK": [ 838 ], - "DI": [ 385 ], - "LSR": [ "0" ], - "Q": [ 142 ] + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 244 ], + "Q": [ 12 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9419": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", + "CEMUX": "1", + "CLKMUX": "INV", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET" + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 367 ], - "CLK": [ 838 ], - "DI": [ 393 ], - "LSR": [ "0" ], - "Q": [ 144 ] + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 244 ], + "Q": [ 13 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9420": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", + "CEMUX": "1", + "CLKMUX": "INV", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET" + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 367 ], - "CLK": [ 838 ], - "DI": [ 811 ], - "LSR": [ "0" ], - "Q": [ 146 ] + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 244 ], + "Q": [ 14 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9421": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", + "CEMUX": "1", + "CLKMUX": "INV", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET" + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 74 ], - "CLK": [ 838 ], - "DI": [ 128 ], - "LSR": [ "0" ], - "Q": [ 123 ] + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 244 ], + "Q": [ 15 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9422": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", + "CEMUX": "1", + "CLKMUX": "INV", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET" + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 74 ], - "CLK": [ 838 ], - "DI": [ 148 ], - "LSR": [ "0" ], - "Q": [ 141 ] + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 244 ], + "Q": [ 16 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9423": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", + "CEMUX": "1", + "CLKMUX": "INV", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET" + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" }, "port_directions": { - "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CE": [ 74 ], - "CLK": [ 838 ], - "DI": [ 153 ], - "LSR": [ "0" ], - "Q": [ 143 ] + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 244 ], + "Q": [ 17 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9424": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "INV", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:25" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 746 ], + "LSR": [ 4 ], + "Q": [ 240 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9425": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "INV", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:25" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 747 ], + "LSR": [ 4 ], + "Q": [ 241 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9426": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "INV", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:25" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 749 ], + "LSR": [ 4 ], + "Q": [ 242 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9427": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "INV", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:360|/usr/local/bin/../share/yosys/ecp5/cells_map.v:25" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 750 ], + "LSR": [ 4 ], + "Q": [ 243 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9428": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -33487,7 +29754,7 @@ }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:297|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { "CE": "input", @@ -33497,350 +29764,1261 @@ "Q": "output" }, "connections": { - "CE": [ 74 ], - "CLK": [ 838 ], - "DI": [ 155 ], + "CE": [ 486 ], + "CLK": [ 647 ], + "DI": [ 152 ], "LSR": [ "0" ], - "Q": [ 145 ] + "Q": [ 649 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9429": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 532 ], + "CLK": [ 647 ], + "DI": [ 708 ], + "LSR": [ "0" ], + "Q": [ 651 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9430": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 487 ], + "CLK": [ 647 ], + "DI": [ 152 ], + "LSR": [ "0" ], + "Q": [ 187 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9431": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 487 ], + "CLK": [ 647 ], + "DI": [ 152 ], + "LSR": [ "0" ], + "Q": [ 520 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9432": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 287 ], + "LSR": [ "0" ], + "Q": [ 123 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9433": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 454 ], + "LSR": [ "0" ], + "Q": [ 120 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9434": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 331 ], + "LSR": [ "0" ], + "Q": [ 117 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9435": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 341 ], + "LSR": [ "0" ], + "Q": [ 114 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9436": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 439 ], + "LSR": [ "0" ], + "Q": [ 111 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9437": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 447 ], + "LSR": [ "0" ], + "Q": [ 108 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9438": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 348 ], + "LSR": [ "0" ], + "Q": [ 105 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9439": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 357 ], + "LSR": [ "0" ], + "Q": [ 102 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9440": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 366 ], + "LSR": [ "0" ], + "Q": [ 99 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9441": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 375 ], + "LSR": [ "0" ], + "Q": [ 96 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9442": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 384 ], + "LSR": [ "0" ], + "Q": [ 93 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9443": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 393 ], + "LSR": [ "0" ], + "Q": [ 90 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9444": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 403 ], + "LSR": [ "0" ], + "Q": [ 87 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9445": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 413 ], + "LSR": [ "0" ], + "Q": [ 84 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9446": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 481 ], + "LSR": [ "0" ], + "Q": [ 81 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9447": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 149 ], + "CLK": [ 647 ], + "DI": [ 484 ], + "LSR": [ "0" ], + "Q": [ 73 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9452": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ "0" ], + "LSR": [ "0" ], + "Q": [ 77 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9453": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ "1" ], + "LSR": [ "0" ], + "Q": [ 79 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9454": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 148 ], + "LSR": [ "0" ], + "Q": [ 74 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9455": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ "0" ], + "LSR": [ "0" ], + "Q": [ 78 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9456": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 707 ], + "LSR": [ "0" ], + "Q": [ 151 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9457": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 521 ], + "CLK": [ 647 ], + "DI": [ 254 ], + "LSR": [ "0" ], + "Q": [ 125 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9458": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 521 ], + "CLK": [ 647 ], + "DI": [ 263 ], + "LSR": [ "0" ], + "Q": [ 19 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9459": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 521 ], + "CLK": [ 647 ], + "DI": [ 270 ], + "LSR": [ "0" ], + "Q": [ 41 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9460": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 521 ], + "CLK": [ 647 ], + "DI": [ 277 ], + "LSR": [ "0" ], + "Q": [ 61 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9461": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 489 ], + "LSR": [ "0" ], + "Q": [ 451 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9462": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 491 ], + "LSR": [ "0" ], + "Q": [ 453 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9463": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 493 ], + "LSR": [ "0" ], + "Q": [ 456 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9464": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 495 ], + "LSR": [ "0" ], + "Q": [ 458 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9465": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "SET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ 736 ], - "LSR": [ 229 ], - "Q": [ 10 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 497 ], + "LSR": [ "0" ], + "Q": [ 460 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9466": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "SET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ "0" ], - "LSR": [ 229 ], - "Q": [ 11 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 499 ], + "LSR": [ "0" ], + "Q": [ 462 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9467": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "SET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ "0" ], - "LSR": [ 229 ], - "Q": [ 12 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 501 ], + "LSR": [ "0" ], + "Q": [ 464 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9468": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "SET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ "0" ], - "LSR": [ 229 ], - "Q": [ 13 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 503 ], + "LSR": [ "0" ], + "Q": [ 466 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9469": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "SET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ "0" ], - "LSR": [ 229 ], - "Q": [ 14 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 505 ], + "LSR": [ "0" ], + "Q": [ 468 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9470": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "SET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ "0" ], - "LSR": [ 229 ], - "Q": [ 15 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 507 ], + "LSR": [ "0" ], + "Q": [ 470 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9471": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "SET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ "0" ], - "LSR": [ 229 ], - "Q": [ 16 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 509 ], + "LSR": [ "0" ], + "Q": [ 472 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9472": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "SET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:21" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ "0" ], - "LSR": [ 229 ], - "Q": [ 17 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 511 ], + "LSR": [ "0" ], + "Q": [ 474 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9473": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:25" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ 918 ], - "LSR": [ 4 ], - "Q": [ 225 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 513 ], + "LSR": [ "0" ], + "Q": [ 476 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9474": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:25" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ 919 ], - "LSR": [ 4 ], - "Q": [ 226 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 515 ], + "LSR": [ "0" ], + "Q": [ 478 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9475": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", + "CEMUX": "CE", + "CLKMUX": "CLK", "GSR": "DISABLED", "LSRMUX": "LSR", - "REGSET": "RESET", - "SRMODE": "LSR_OVER_CE" + "REGSET": "RESET" }, "attributes": { "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:25" + "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" }, "port_directions": { + "CE": "input", "CLK": "input", "DI": "input", "LSR": "input", "Q": "output" }, "connections": { - "CLK": [ 2 ], - "DI": [ 921 ], - "LSR": [ 4 ], - "Q": [ 227 ] + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 517 ], + "LSR": [ "0" ], + "Q": [ 480 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9476": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "INV", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET", - "SRMODE": "LSR_OVER_CE" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/cells_map.v:25" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 922 ], - "LSR": [ 4 ], - "Q": [ 228 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9477": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -33862,98 +31040,11 @@ "Q": "output" }, "connections": { - "CE": [ 237 ], - "CLK": [ 833 ], - "DI": [ 235 ], + "CE": [ 188 ], + "CLK": [ 647 ], + "DI": [ 519 ], "LSR": [ "0" ], - "Q": [ 835 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9478": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 744 ], - "CLK": [ 833 ], - "DI": [ 856 ], - "LSR": [ "0" ], - "Q": [ 837 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9479": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 730 ], - "CLK": [ 833 ], - "DI": [ 235 ], - "LSR": [ "0" ], - "Q": [ 742 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9480": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 892 ], - "CLK": [ 833 ], - "DI": [ 235 ], - "LSR": [ "0" ], - "Q": [ 536 ] + "Q": [ 483 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9481": { @@ -33978,11 +31069,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 373 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 452 ], "LSR": [ "0" ], - "Q": [ 69 ] + "Q": [ 222 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9482": { @@ -34007,11 +31098,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 436 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 455 ], "LSR": [ "0" ], - "Q": [ 66 ] + "Q": [ 173 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9483": { @@ -34036,11 +31127,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 382 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 457 ], "LSR": [ "0" ], - "Q": [ 63 ] + "Q": [ 212 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9484": { @@ -34065,11 +31156,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 441 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 459 ], "LSR": [ "0" ], - "Q": [ 60 ] + "Q": [ 67 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9485": { @@ -34094,11 +31185,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 391 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 461 ], "LSR": [ "0" ], - "Q": [ 57 ] + "Q": [ 223 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9486": { @@ -34123,11 +31214,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 446 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 463 ], "LSR": [ "0" ], - "Q": [ 54 ] + "Q": [ 182 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9487": { @@ -34152,11 +31243,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 399 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 465 ], "LSR": [ "0" ], - "Q": [ 51 ] + "Q": [ 213 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9488": { @@ -34181,11 +31272,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 316 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 467 ], "LSR": [ "0" ], - "Q": [ 48 ] + "Q": [ 227 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9489": { @@ -34210,11 +31301,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 453 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 469 ], "LSR": [ "0" ], - "Q": [ 45 ] + "Q": [ 159 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9490": { @@ -34239,11 +31330,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 324 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 471 ], "LSR": [ "0" ], - "Q": [ 42 ] + "Q": [ 164 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9491": { @@ -34268,11 +31359,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 333 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 473 ], "LSR": [ "0" ], - "Q": [ 39 ] + "Q": [ 174 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9492": { @@ -34297,11 +31388,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 460 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 475 ], "LSR": [ "0" ], - "Q": [ 36 ] + "Q": [ 178 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9493": { @@ -34326,11 +31417,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 463 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 477 ], "LSR": [ "0" ], - "Q": [ 33 ] + "Q": [ 417 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9494": { @@ -34355,11 +31446,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 466 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 479 ], "LSR": [ "0" ], - "Q": [ 30 ] + "Q": [ 420 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9495": { @@ -34384,11 +31475,11 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 469 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 482 ], "LSR": [ "0" ], - "Q": [ 27 ] + "Q": [ 423 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9496": { @@ -34413,1203 +31504,14 @@ "Q": "output" }, "connections": { - "CE": [ 280 ], - "CLK": [ 833 ], - "DI": [ 340 ], + "CE": [ 441 ], + "CLK": [ 647 ], + "DI": [ 485 ], "LSR": [ "0" ], - "Q": [ 19 ] + "Q": [ 189 ] } }, "$auto$simplemap.cc:420:simplemap_dff$9501": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 894 ], - "CLK": [ 833 ], - "DI": [ "0" ], - "LSR": [ "0" ], - "Q": [ 23 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9502": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 890 ], - "CLK": [ 833 ], - "DI": [ "1" ], - "LSR": [ "0" ], - "Q": [ 25 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9503": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 884 ], - "CLK": [ 833 ], - "DI": [ 151 ], - "LSR": [ "0" ], - "Q": [ 20 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9504": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 895 ], - "CLK": [ 833 ], - "DI": [ "0" ], - "LSR": [ "0" ], - "Q": [ 24 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9505": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 891 ], - "CLK": [ 833 ], - "DI": [ 855 ], - "LSR": [ "0" ], - "Q": [ 150 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9506": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 537 ], - "CLK": [ 833 ], - "DI": [ 252 ], - "LSR": [ "0" ], - "Q": [ 163 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9507": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 537 ], - "CLK": [ 833 ], - "DI": [ 261 ], - "LSR": [ "0" ], - "Q": [ 166 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9508": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 537 ], - "CLK": [ 833 ], - "DI": [ 268 ], - "LSR": [ "0" ], - "Q": [ 188 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9509": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 537 ], - "CLK": [ 833 ], - "DI": [ 275 ], - "LSR": [ "0" ], - "Q": [ 193 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9510": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 504 ], - "LSR": [ "0" ], - "Q": [ 433 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9511": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 506 ], - "LSR": [ "0" ], - "Q": [ 435 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9512": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 508 ], - "LSR": [ "0" ], - "Q": [ 438 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9513": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 510 ], - "LSR": [ "0" ], - "Q": [ 440 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9514": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 512 ], - "LSR": [ "0" ], - "Q": [ 443 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9515": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 514 ], - "LSR": [ "0" ], - "Q": [ 445 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9516": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 516 ], - "LSR": [ "0" ], - "Q": [ 448 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9517": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 518 ], - "LSR": [ "0" ], - "Q": [ 450 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9518": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 520 ], - "LSR": [ "0" ], - "Q": [ 452 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9519": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 522 ], - "LSR": [ "0" ], - "Q": [ 455 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9520": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 524 ], - "LSR": [ "0" ], - "Q": [ 457 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9521": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 526 ], - "LSR": [ "0" ], - "Q": [ 459 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9522": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 528 ], - "LSR": [ "0" ], - "Q": [ 462 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9523": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 530 ], - "LSR": [ "0" ], - "Q": [ 465 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9524": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 532 ], - "LSR": [ "0" ], - "Q": [ 468 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9525": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 743 ], - "CLK": [ 833 ], - "DI": [ 534 ], - "LSR": [ "0" ], - "Q": [ 471 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9530": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 875 ], - "CLK": [ 833 ], - "DI": [ 434 ], - "LSR": [ "0" ], - "Q": [ 216 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9531": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 873 ], - "CLK": [ 833 ], - "DI": [ 437 ], - "LSR": [ "0" ], - "Q": [ 174 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9532": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 432 ], - "CLK": [ 833 ], - "DI": [ 439 ], - "LSR": [ "0" ], - "Q": [ 205 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9533": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 877 ], - "CLK": [ 833 ], - "DI": [ 442 ], - "LSR": [ "0" ], - "Q": [ 419 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9534": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 878 ], - "CLK": [ 833 ], - "DI": [ 444 ], - "LSR": [ "0" ], - "Q": [ 218 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9535": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 879 ], - "CLK": [ 833 ], - "DI": [ 447 ], - "LSR": [ "0" ], - "Q": [ 180 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9536": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 880 ], - "CLK": [ 833 ], - "DI": [ 449 ], - "LSR": [ "0" ], - "Q": [ 207 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9537": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 881 ], - "CLK": [ 833 ], - "DI": [ 451 ], - "LSR": [ "0" ], - "Q": [ 222 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9538": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 882 ], - "CLK": [ 833 ], - "DI": [ 454 ], - "LSR": [ "0" ], - "Q": [ 160 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9539": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 883 ], - "CLK": [ 833 ], - "DI": [ 456 ], - "LSR": [ "0" ], - "Q": [ 176 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9540": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 887 ], - "CLK": [ 833 ], - "DI": [ 458 ], - "LSR": [ "0" ], - "Q": [ 185 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9541": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 886 ], - "CLK": [ 833 ], - "DI": [ 461 ], - "LSR": [ "0" ], - "Q": [ 190 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9542": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 885 ], - "CLK": [ 833 ], - "DI": [ 464 ], - "LSR": [ "0" ], - "Q": [ 497 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9543": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 888 ], - "CLK": [ 833 ], - "DI": [ 467 ], - "LSR": [ "0" ], - "Q": [ 474 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9544": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 893 ], - "CLK": [ 833 ], - "DI": [ 470 ], - "LSR": [ "0" ], - "Q": [ 732 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9545": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "CE", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "saturn_core.v:222|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" - }, - "port_directions": { - "CE": "input", - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CE": [ 889 ], - "CLK": [ 833 ], - "DI": [ 472 ], - "LSR": [ "0" ], - "Q": [ 195 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$9550": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35631,12 +31533,12 @@ }, "connections": { "CLK": [ 2 ], - "DI": [ 279 ], + "DI": [ 147 ], "LSR": [ "0" ], - "Q": [ 235 ] + "Q": [ 152 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9551": { + "$auto$simplemap.cc:420:simplemap_dff$9502": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35658,14 +31560,14 @@ "Q": "output" }, "connections": { - "CE": [ 857 ], + "CE": [ 706 ], "CLK": [ 2 ], - "DI": [ 858 ], + "DI": [ 705 ], "LSR": [ "0" ], - "Q": [ 834 ] + "Q": [ 648 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9552": { + "$auto$simplemap.cc:420:simplemap_dff$9503": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35687,14 +31589,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 70 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 124 ], "LSR": [ "0" ], - "Q": [ 926 ] + "Q": [ 754 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9553": { + "$auto$simplemap.cc:420:simplemap_dff$9504": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35716,14 +31618,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 67 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 121 ], "LSR": [ "0" ], - "Q": [ 927 ] + "Q": [ 755 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9554": { + "$auto$simplemap.cc:420:simplemap_dff$9505": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35745,14 +31647,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 64 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 118 ], "LSR": [ "0" ], - "Q": [ 937 ] + "Q": [ 765 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9555": { + "$auto$simplemap.cc:420:simplemap_dff$9506": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35774,14 +31676,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 61 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 115 ], "LSR": [ "0" ], - "Q": [ 938 ] + "Q": [ 766 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9556": { + "$auto$simplemap.cc:420:simplemap_dff$9507": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35803,14 +31705,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 58 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 112 ], "LSR": [ "0" ], - "Q": [ 940 ] + "Q": [ 768 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9557": { + "$auto$simplemap.cc:420:simplemap_dff$9508": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35832,14 +31734,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 55 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 109 ], "LSR": [ "0" ], - "Q": [ 941 ] + "Q": [ 769 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9558": { + "$auto$simplemap.cc:420:simplemap_dff$9509": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35861,14 +31763,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 52 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 106 ], "LSR": [ "0" ], - "Q": [ 943 ] + "Q": [ 771 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9559": { + "$auto$simplemap.cc:420:simplemap_dff$9510": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35890,14 +31792,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 49 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 103 ], "LSR": [ "0" ], - "Q": [ 944 ] + "Q": [ 772 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9560": { + "$auto$simplemap.cc:420:simplemap_dff$9511": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35919,14 +31821,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 46 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 100 ], "LSR": [ "0" ], - "Q": [ 946 ] + "Q": [ 774 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9561": { + "$auto$simplemap.cc:420:simplemap_dff$9512": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35948,14 +31850,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 43 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 97 ], "LSR": [ "0" ], - "Q": [ 947 ] + "Q": [ 775 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9562": { + "$auto$simplemap.cc:420:simplemap_dff$9513": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -35977,14 +31879,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 40 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 94 ], "LSR": [ "0" ], - "Q": [ 929 ] + "Q": [ 757 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9563": { + "$auto$simplemap.cc:420:simplemap_dff$9514": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -36006,14 +31908,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 37 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 91 ], "LSR": [ "0" ], - "Q": [ 930 ] + "Q": [ 758 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9564": { + "$auto$simplemap.cc:420:simplemap_dff$9515": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -36035,14 +31937,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 34 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 88 ], "LSR": [ "0" ], - "Q": [ 933 ] + "Q": [ 761 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9565": { + "$auto$simplemap.cc:420:simplemap_dff$9516": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -36064,14 +31966,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 31 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 85 ], "LSR": [ "0" ], - "Q": [ 934 ] + "Q": [ 762 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9566": { + "$auto$simplemap.cc:420:simplemap_dff$9517": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -36093,14 +31995,14 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 28 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 82 ], "LSR": [ "0" ], - "Q": [ 231 ] + "Q": [ 246 ] } }, - "$auto$simplemap.cc:420:simplemap_dff$9567": { + "$auto$simplemap.cc:420:simplemap_dff$9518": { "hide_name": 1, "type": "TRELLIS_FF", "parameters": { @@ -36122,11 +32024,11 @@ "Q": "output" }, "connections": { - "CE": [ 21 ], - "CLK": [ 836 ], - "DI": [ 22 ], + "CE": [ 75 ], + "CLK": [ 650 ], + "DI": [ 76 ], "LSR": [ "0" ], - "Q": [ 230 ] + "Q": [ 245 ] } }, "RSTK.0.0.0": { @@ -36150,12 +32052,12 @@ "WRE": "input" }, "connections": { - "DI": [ 839, 840, 841, 842 ], - "DO": [ 374, 795, 383, 802 ], - "RAD": [ 348, 355, 362, "0" ], - "WAD": [ 348, 355, 362, "0" ], - "WCK": [ 838 ], - "WRE": [ 832 ] + "DI": [ 686, 687, 688, 689 ], + "DO": [ 288, 527, 332, 342 ], + "RAD": [ 677, 669, 566, "0" ], + "WAD": [ 677, 669, 566, "0" ], + "WCK": [ 652 ], + "WRE": [ 646 ] } }, "RSTK.1.0.0": { @@ -36179,12 +32081,12 @@ "WRE": "input" }, "connections": { - "DI": [ 843, 844, 845, 846 ], - "DO": [ 392, 809, 400, 317 ], - "RAD": [ 348, 355, 362, "0" ], - "WAD": [ 348, 355, 362, "0" ], - "WCK": [ 838 ], - "WRE": [ 832 ] + "DI": [ 690, 691, 692, 693 ], + "DO": [ 440, 448, 349, 358 ], + "RAD": [ 677, 669, 566, "0" ], + "WAD": [ 677, 669, 566, "0" ], + "WCK": [ 652 ], + "WRE": [ 646 ] } }, "RSTK.2.0.0": { @@ -36208,12 +32110,12 @@ "WRE": "input" }, "connections": { - "DI": [ 847, 848, 849, 850 ], - "DO": [ 769, 325, 334, 484 ], - "RAD": [ 348, 355, 362, "0" ], - "WAD": [ 348, 355, 362, "0" ], - "WCK": [ 838 ], - "WRE": [ 832 ] + "DI": [ 694, 695, 696, 697 ], + "DO": [ 367, 376, 385, 394 ], + "RAD": [ 677, 669, 566, "0" ], + "WAD": [ 677, 669, 566, "0" ], + "WCK": [ 652 ], + "WRE": [ 646 ] } }, "RSTK.3.0.0": { @@ -36237,12 +32139,12 @@ "WRE": "input" }, "connections": { - "DI": [ 851, 852, 853, 854 ], - "DO": [ 483, 818, 823, 341 ], - "RAD": [ 348, 355, 362, "0" ], - "WAD": [ 348, 355, 362, "0" ], - "WCK": [ 838 ], - "WRE": [ 832 ] + "DI": [ 698, 699, 700, 701 ], + "DO": [ 404, 414, 658, 666 ], + "RAD": [ 677, 669, 566, "0" ], + "WAD": [ 677, 669, 566, "0" ], + "WCK": [ 652 ], + "WRE": [ 646 ] } }, "bus_ctrl.dev_rom.rom.0.0.0": { @@ -36399,24 +32301,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 424 ], + "CEB": [ 431 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -36435,7 +32337,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 256 ], + "DOB0": [ 258 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -36598,24 +32500,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 423 ], + "CEB": [ 430 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -36634,7 +32536,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 248 ], + "DOB0": [ 250 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -36797,24 +32699,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 422 ], + "CEB": [ 429 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -36833,7 +32735,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 257 ], + "DOB0": [ 259 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -36996,24 +32898,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 421 ], + "CEB": [ 428 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -37032,7 +32934,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 255 ], + "DOB0": [ 257 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -37195,24 +33097,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 424 ], + "CEB": [ 431 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -37231,7 +33133,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 263 ], + "DOB0": [ 265 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -37394,24 +33296,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 423 ], + "CEB": [ 430 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -37430,7 +33332,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 258 ], + "DOB0": [ 260 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -37593,24 +33495,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 422 ], + "CEB": [ 429 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -37629,7 +33531,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 264 ], + "DOB0": [ 266 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -37792,24 +33694,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 421 ], + "CEB": [ 428 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -37828,7 +33730,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 262 ], + "DOB0": [ 264 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -37991,24 +33893,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 424 ], + "CEB": [ 431 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -38027,7 +33929,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 270 ], + "DOB0": [ 272 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -38190,24 +34092,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 423 ], + "CEB": [ 430 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -38226,7 +34128,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 265 ], + "DOB0": [ 267 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -38389,24 +34291,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 422 ], + "CEB": [ 429 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -38425,7 +34327,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 271 ], + "DOB0": [ 273 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -38588,24 +34490,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 421 ], + "CEB": [ 428 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -38624,7 +34526,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 269 ], + "DOB0": [ 271 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -38787,24 +34689,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 424 ], + "CEB": [ 431 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -38823,7 +34725,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 277 ], + "DOB0": [ 279 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -38986,24 +34888,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 423 ], + "CEB": [ 430 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -39022,7 +34924,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 272 ], + "DOB0": [ 274 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -39185,24 +35087,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 422 ], + "CEB": [ 429 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -39221,7 +35123,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 278 ], + "DOB0": [ 280 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -39384,24 +35286,24 @@ "ADA7": [ "0" ], "ADA8": [ "0" ], "ADA9": [ "0" ], - "ADB0": [ 926 ], - "ADB1": [ 927 ], - "ADB10": [ 929 ], - "ADB11": [ 930 ], - "ADB12": [ 933 ], - "ADB13": [ 934 ], - "ADB2": [ 937 ], - "ADB3": [ 938 ], - "ADB4": [ 940 ], - "ADB5": [ 941 ], - "ADB6": [ 943 ], - "ADB7": [ 944 ], - "ADB8": [ 946 ], - "ADB9": [ 947 ], + "ADB0": [ 754 ], + "ADB1": [ 755 ], + "ADB10": [ 757 ], + "ADB11": [ 758 ], + "ADB12": [ 761 ], + "ADB13": [ 762 ], + "ADB2": [ 765 ], + "ADB3": [ 766 ], + "ADB4": [ 768 ], + "ADB5": [ 769 ], + "ADB6": [ 771 ], + "ADB7": [ 772 ], + "ADB8": [ 774 ], + "ADB9": [ 775 ], "CEA": [ "1" ], - "CEB": [ 421 ], + "CEB": [ 428 ], "CLKA": [ "0" ], - "CLKB": [ 836 ], + "CLKB": [ 650 ], "DIA0": [ "0" ], "DIA1": [ "0" ], "DIA10": [ "0" ], @@ -39420,7 +35322,7 @@ "DIA7": [ "0" ], "DIA8": [ "0" ], "DIA9": [ "0" ], - "DOB0": [ 276 ], + "DOB0": [ 278 ], "OCEA": [ "1" ], "OCEB": [ "1" ], "RSTA": [ "0" ], @@ -39433,4183 +35335,3039 @@ "netnames": { "$0$memwr$\\RSTK$opcodes/8[DF]xxxxx_GO.v:30$344_DATA[19:0]$389": { "hide_name": 1, - "bits": [ 503, 505, 507, 509, 511, 513, 515, 517, 519, 521, 523, 525, 527, 529, 531, 533, 948, 949, 950, 951 ], + "bits": [ 488, 490, 492, 494, 496, 498, 500, 502, 504, 506, 508, 510, 512, 514, 516, 518, 777, 778, 779, 780 ], "attributes": { "src": "saturn_core.v:297" } }, "$0\\PC[19:0]": { "hide_name": 1, - "bits": [ 434, 437, 439, 442, 444, 447, 449, 451, 454, 456, 458, 461, 464, 467, 470, 472, 952, 953, 954, 955 ], + "bits": [ 452, 455, 457, 459, 461, 463, 465, 467, 469, 471, 473, 475, 477, 479, 482, 485, 781, 782, 783, 784 ], "attributes": { "src": "saturn_core.v:222" } }, "$0\\bus_command[3:0]": { "hide_name": 1, - "bits": [ 956, 957, 151, 958 ], + "bits": [ 785, 786, 148, 787 ], "attributes": { "src": "saturn_core.v:222" } }, "$0\\clk2[0:0]": { "hide_name": 1, - "bits": [ 858 ], + "bits": [ 705 ], "attributes": { "src": "saturn_core.v:199" } }, "$0\\clk3[0:0]": { "hide_name": 1, - "bits": [ 279 ], + "bits": [ 147 ], "attributes": { "src": "saturn_core.v:202" } }, "$0\\decstate[7:0]": { "hide_name": 1, - "bits": [ 590, 708, 545, 749, 243, 959, 779, 960 ], + "bits": [ 540, 627, 586, 295, 535, 788, 325, 789 ], "attributes": { "src": "saturn_core.v:297" } }, "$0\\en_bus_load_pc[0:0]": { "hide_name": 1, - "bits": [ 855 ], + "bits": [ 707 ], "attributes": { "src": "saturn_core.v:222" } }, "$0\\en_dec_clk[0:0]": { "hide_name": 1, - "bits": [ 856 ], + "bits": [ 708 ], "attributes": { "src": "saturn_core.v:222" } }, "$0\\execute_cycle[0:0]": { "hide_name": 1, - "bits": [ 410 ], + "bits": [ 205 ], "attributes": { "src": "saturn_core.v:297" } }, "$0\\hex_dec[0:0]": { "hide_name": 1, - "bits": [ 737 ], + "bits": [ 126 ], "attributes": { "src": "saturn_core.v:297" } }, "$0\\jump_base[19:0]": { "hide_name": 1, - "bits": [ 214, 171, 203, 417, 219, 181, 208, 223, 161, 177, 186, 191, 498, 475, 733, 196, 961, 962, 963, 964 ], + "bits": [ 221, 172, 211, 66, 225, 184, 215, 229, 161, 166, 176, 180, 419, 422, 425, 191, 790, 791, 792, 793 ], "attributes": { "src": "saturn_core.v:297" } }, "$0\\jump_offset[19:0]": { "hide_name": 1, - "bits": [ 773, 300, 502, 696, 690, 692, 739, 741, 760, 762, 775, 781, 783, 785, 787, 789, 965, 966, 967, 968 ], + "bits": [ 239, 602, 606, 235, 703, 531, 576, 578, 594, 596, 598, 634, 636, 638, 640, 642, 794, 795, 796, 797 ], "attributes": { "src": "saturn_core.v:297" } }, "$0\\new_PC[19:0]": { "hide_name": 1, - "bits": [ 371, 793, 381, 801, 389, 807, 397, 305, 767, 322, 331, 488, 480, 817, 822, 338, 969, 970, 971, 972 ], + "bits": [ 286, 526, 330, 339, 437, 446, 347, 356, 365, 374, 383, 392, 402, 412, 657, 665, 798, 799, 800, 801 ], "attributes": { "src": "saturn_core.v:297" } }, "$0\\next_PC[19:0]": { "hide_name": 1, - "bits": [ 504, 506, 508, 510, 512, 514, 516, 518, 520, 522, 524, 526, 528, 530, 532, 534, 973, 974, 975, 976 ], + "bits": [ 489, 491, 493, 495, 497, 499, 501, 503, 505, 507, 509, 511, 513, 515, 517, 519, 802, 803, 804, 805 ], "attributes": { "src": "saturn_core.v:222" } }, "$0\\rstk_ptr[2:0]": { "hide_name": 1, - "bits": [ 346, 353, 360 ], + "bits": [ 680, 672, 570 ], "attributes": { "src": "saturn_core.v:297" } }, "$0\\t_cnt[3:0]": { "hide_name": 1, - "bits": [ 810, 385, 393, 811 ], + "bits": [ 643, 21, 43, 644 ], "attributes": { "src": "saturn_core.v:297" } }, "$0\\t_ctr[3:0]": { "hide_name": 1, - "bits": [ 128, 148, 153, 155 ], + "bits": [ 137, 154, 156, 158 ], "attributes": { "src": "saturn_core.v:297" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.f0": { "hide_name": 1, - "bits": [ 83 ], + "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.f1": { "hide_name": 1, - "bits": [ 84 ], + "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.f2": { "hide_name": 1, - "bits": [ 85 ], + "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.f3": { "hide_name": 1, - "bits": [ 86 ], + "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.g0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.g0": { "hide_name": 1, - "bits": [ 88 ], + "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14251.g1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14153.g1": { "hide_name": 1, - "bits": [ 89 ], + "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14252.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14159.f0": { "hide_name": 1, - "bits": [ 94 ], + "bits": [ 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14252.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14159.f1": { "hide_name": 1, - "bits": [ 95 ], + "bits": [ 46 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14174.f0": { "hide_name": 1, - "bits": [ 96 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.f1": { - "hide_name": 1, - "bits": [ 97 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.f2": { - "hide_name": 1, - "bits": [ 98 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.f3": { - "hide_name": 1, - "bits": [ 99 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.g0": { - "hide_name": 1, - "bits": [ 100 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14253.g1": { - "hide_name": 1, - "bits": [ 101 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.f0": { - "hide_name": 1, - "bits": [ 102 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.f1": { - "hide_name": 1, - "bits": [ 103 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.f2": { - "hide_name": 1, - "bits": [ 104 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.f3": { - "hide_name": 1, - "bits": [ 105 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.g0": { - "hide_name": 1, - "bits": [ 106 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14254.g1": { - "hide_name": 1, - "bits": [ 107 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.f0": { - "hide_name": 1, - "bits": [ 108 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.f1": { - "hide_name": 1, - "bits": [ 109 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.f2": { - "hide_name": 1, - "bits": [ 110 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.f3": { - "hide_name": 1, - "bits": [ 111 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.g0": { - "hide_name": 1, - "bits": [ 112 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14255.g1": { - "hide_name": 1, - "bits": [ 113 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.f0": { - "hide_name": 1, - "bits": [ 116 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.f1": { - "hide_name": 1, - "bits": [ 117 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.f2": { - "hide_name": 1, - "bits": [ 118 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.f3": { - "hide_name": 1, - "bits": [ 119 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.g0": { - "hide_name": 1, - "bits": [ 120 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14256.g1": { - "hide_name": 1, - "bits": [ 121 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14259.f0": { - "hide_name": 1, - "bits": [ 125 ], + "bits": [ 63 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14259.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14174.f1": { "hide_name": 1, - "bits": [ 126 ], + "bits": [ 64 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14260.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.f0": { "hide_name": 1, "bits": [ 130 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14260.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.f1": { "hide_name": 1, "bits": [ 131 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.f2": { "hide_name": 1, "bits": [ 132 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.f3": { "hide_name": 1, "bits": [ 133 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.g0": { "hide_name": 1, "bits": [ 134 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14197.g1": { "hide_name": 1, "bits": [ 135 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.g0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14200.f0": { "hide_name": 1, - "bits": [ 136 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14261.g1": { - "hide_name": 1, - "bits": [ 137 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14275.f0": { - "hide_name": 1, - "bits": [ 168 ], + "bits": [ 142 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14275.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14200.f1": { "hide_name": 1, - "bits": [ 169 ], + "bits": [ 143 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14292.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14213.f0": { "hide_name": 1, - "bits": [ 200 ], + "bits": [ 170 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14292.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14213.f1": { "hide_name": 1, - "bits": [ 201 ], + "bits": [ 171 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14297.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14233.f0": { "hide_name": 1, - "bits": [ 211 ], + "bits": [ 203 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14297.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14233.f1": { "hide_name": 1, - "bits": [ 212 ], + "bits": [ 204 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14309.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14236.f0": { "hide_name": 1, - "bits": [ 241 ], + "bits": [ 209 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14309.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14236.f1": { "hide_name": 1, - "bits": [ 242 ], + "bits": [ 210 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14314.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14240.f0": { "hide_name": 1, - "bits": [ 246 ], + "bits": [ 219 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14314.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14240.f1": { "hide_name": 1, - "bits": [ 247 ], + "bits": [ 220 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14247.f0": { "hide_name": 1, - "bits": [ 281 ], + "bits": [ 233 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14247.f1": { "hide_name": 1, - "bits": [ 282 ], + "bits": [ 234 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14248.f0": { + "hide_name": 1, + "bits": [ 237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14248.f1": { + "hide_name": 1, + "bits": [ 238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14266.f0": { "hide_name": 1, "bits": [ 283 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14266.f1": { "hide_name": 1, "bits": [ 284 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.f4": { - "hide_name": 1, - "bits": [ 285 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.f5": { - "hide_name": 1, - "bits": [ 286 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.f6": { - "hide_name": 1, - "bits": [ 287 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.f7": { - "hide_name": 1, - "bits": [ 288 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.g0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14267.f0": { "hide_name": 1, "bits": [ 289 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.g1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14267.f1": { "hide_name": 1, "bits": [ 290 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.g2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14269.f0": { "hide_name": 1, - "bits": [ 291 ], + "bits": [ 296 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.g3": { - "hide_name": 1, - "bits": [ 292 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.h0": { - "hide_name": 1, - "bits": [ 293 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14329.h1": { - "hide_name": 1, - "bits": [ 294 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14330.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14269.f1": { "hide_name": 1, "bits": [ 297 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14330.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14271.f0": { "hide_name": 1, - "bits": [ 298 ], + "bits": [ 299 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14271.f1": { "hide_name": 1, - "bits": [ 308 ], + "bits": [ 300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.f0": { + "hide_name": 1, + "bits": [ 302 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.f1": { + "hide_name": 1, + "bits": [ 303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.f2": { + "hide_name": 1, + "bits": [ 304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.f3": { + "hide_name": 1, + "bits": [ 305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.g0": { + "hide_name": 1, + "bits": [ 306 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14273.g1": { + "hide_name": 1, + "bits": [ 307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.f0": { "hide_name": 1, "bits": [ 309 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.f1": { "hide_name": 1, "bits": [ 310 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.f2": { "hide_name": 1, "bits": [ 311 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.g0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.f3": { "hide_name": 1, "bits": [ 312 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14335.g1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.g0": { "hide_name": 1, "bits": [ 313 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14345.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14274.g1": { + "hide_name": 1, + "bits": [ 314 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.f0": { + "hide_name": 1, + "bits": [ 316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.f1": { + "hide_name": 1, + "bits": [ 317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.f2": { + "hide_name": 1, + "bits": [ 318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.f3": { + "hide_name": 1, + "bits": [ 319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.g0": { + "hide_name": 1, + "bits": [ 320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14277.g1": { + "hide_name": 1, + "bits": [ 321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14278.f0": { + "hide_name": 1, + "bits": [ 323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14278.f1": { + "hide_name": 1, + "bits": [ 324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14279.f0": { + "hide_name": 1, + "bits": [ 327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14279.f1": { "hide_name": 1, "bits": [ 328 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14345.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14280.f0": { "hide_name": 1, - "bits": [ 329 ], + "bits": [ 333 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14374.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14280.f1": { + "hide_name": 1, + "bits": [ 334 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14281.f0": { + "hide_name": 1, + "bits": [ 336 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14281.f1": { + "hide_name": 1, + "bits": [ 337 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14284.f0": { + "hide_name": 1, + "bits": [ 344 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14284.f1": { + "hide_name": 1, + "bits": [ 345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14285.f0": { + "hide_name": 1, + "bits": [ 350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14285.f1": { + "hide_name": 1, + "bits": [ 351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14286.f0": { + "hide_name": 1, + "bits": [ 353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14286.f1": { + "hide_name": 1, + "bits": [ 354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14287.f0": { + "hide_name": 1, + "bits": [ 359 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14287.f1": { + "hide_name": 1, + "bits": [ 360 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14288.f0": { + "hide_name": 1, + "bits": [ 362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14288.f1": { + "hide_name": 1, + "bits": [ 363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14289.f0": { + "hide_name": 1, + "bits": [ 368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14289.f1": { + "hide_name": 1, + "bits": [ 369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14290.f0": { + "hide_name": 1, + "bits": [ 371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14290.f1": { + "hide_name": 1, + "bits": [ 372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14291.f0": { + "hide_name": 1, + "bits": [ 377 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14291.f1": { "hide_name": 1, "bits": [ 378 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14374.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14292.f0": { "hide_name": 1, - "bits": [ 379 ], + "bits": [ 380 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14292.f1": { "hide_name": 1, - "bits": [ 402 ], + "bits": [ 381 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14293.f0": { "hide_name": 1, - "bits": [ 403 ], + "bits": [ 386 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14293.f1": { "hide_name": 1, - "bits": [ 404 ], + "bits": [ 387 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14294.f0": { + "hide_name": 1, + "bits": [ 389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14294.f1": { + "hide_name": 1, + "bits": [ 390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14295.f0": { + "hide_name": 1, + "bits": [ 395 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14295.f1": { + "hide_name": 1, + "bits": [ 396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14296.f0": { + "hide_name": 1, + "bits": [ 399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14296.f1": { + "hide_name": 1, + "bits": [ 400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14297.f0": { "hide_name": 1, "bits": [ 405 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.g0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14297.f1": { "hide_name": 1, - "bits": [ 407 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14390.g1": { - "hide_name": 1, - "bits": [ 408 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14395.f0": { - "hide_name": 1, - "bits": [ 414 ], + "bits": [ 406 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14395.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14298.f0": { + "hide_name": 1, + "bits": [ 409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14298.f1": { + "hide_name": 1, + "bits": [ 410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14299.f0": { "hide_name": 1, "bits": [ 415 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14299.f1": { "hide_name": 1, - "bits": [ 425 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.f1": { - "hide_name": 1, - "bits": [ 426 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.f2": { - "hide_name": 1, - "bits": [ 427 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.f3": { - "hide_name": 1, - "bits": [ 428 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.g0": { - "hide_name": 1, - "bits": [ 429 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14403.g1": { - "hide_name": 1, - "bits": [ 430 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14427.f0": { - "hide_name": 1, - "bits": [ 486 ], + "bits": [ 416 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14427.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14312.f0": { "hide_name": 1, - "bits": [ 487 ], + "bits": [ 434 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14312.f1": { "hide_name": 1, - "bits": [ 490 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.f1": { - "hide_name": 1, - "bits": [ 491 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.f2": { - "hide_name": 1, - "bits": [ 492 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.f3": { - "hide_name": 1, - "bits": [ 493 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.g0": { - "hide_name": 1, - "bits": [ 494 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14428.g1": { - "hide_name": 1, - "bits": [ 495 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14431.f0": { - "hide_name": 1, - "bits": [ 500 ], + "bits": [ 435 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14431.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14316.f0": { "hide_name": 1, - "bits": [ 501 ], + "bits": [ 443 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14450.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14316.f1": { "hide_name": 1, - "bits": [ 542 ], + "bits": [ 444 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14450.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14317.f0": { + "hide_name": 1, + "bits": [ 449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14317.f1": { + "hide_name": 1, + "bits": [ 450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14353.f0": { + "hide_name": 1, + "bits": [ 523 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14353.f1": { + "hide_name": 1, + "bits": [ 524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14354.f0": { + "hide_name": 1, + "bits": [ 528 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14354.f1": { + "hide_name": 1, + "bits": [ 529 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$14149$auto$blifparse.cc:492:parse_blif$14363.f0": { "hide_name": 1, "bits": [ 543 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14363.f1": { "hide_name": 1, - "bits": [ 547 ], + "bits": [ 544 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.f0": { "hide_name": 1, "bits": [ 548 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.f1": { "hide_name": 1, "bits": [ 549 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.f2": { "hide_name": 1, "bits": [ 550 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.f4": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.f3": { "hide_name": 1, "bits": [ 551 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.f5": { - "hide_name": 1, - "bits": [ 552 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.f6": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.g0": { "hide_name": 1, "bits": [ 553 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.f7": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14368.g1": { "hide_name": 1, "bits": [ 554 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.g0": { - "hide_name": 1, - "bits": [ 555 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.g1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.f0": { "hide_name": 1, "bits": [ 556 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.g2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.f1": { "hide_name": 1, "bits": [ 557 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.g3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.f2": { "hide_name": 1, "bits": [ 558 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.h0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.f3": { "hide_name": 1, "bits": [ 559 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14453.h1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.g0": { "hide_name": 1, "bits": [ 560 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14369.g1": { "hide_name": 1, - "bits": [ 562 ], + "bits": [ 561 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14370.f0": { "hide_name": 1, "bits": [ 563 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14370.f1": { "hide_name": 1, "bits": [ 564 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.f3": { - "hide_name": 1, - "bits": [ 565 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.g0": { - "hide_name": 1, - "bits": [ 566 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14455.g1": { - "hide_name": 1, - "bits": [ 567 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14457.f0": { - "hide_name": 1, - "bits": [ 568 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14457.f1": { - "hide_name": 1, - "bits": [ 569 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14376.f0": { "hide_name": 1, "bits": [ 573 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14376.f1": { "hide_name": 1, "bits": [ 574 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.f2": { - "hide_name": 1, - "bits": [ 575 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.f3": { - "hide_name": 1, - "bits": [ 576 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.f4": { - "hide_name": 1, - "bits": [ 577 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.f5": { - "hide_name": 1, - "bits": [ 578 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.f6": { - "hide_name": 1, - "bits": [ 579 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.f7": { - "hide_name": 1, - "bits": [ 580 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.g0": { - "hide_name": 1, - "bits": [ 582 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.g1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14380.f0": { "hide_name": 1, "bits": [ 583 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.g2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14380.f1": { "hide_name": 1, "bits": [ 584 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.g3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14386.f0": { "hide_name": 1, - "bits": [ 585 ], + "bits": [ 589 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.h0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14386.f1": { "hide_name": 1, - "bits": [ 587 ], + "bits": [ 590 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14458.h1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14387.f0": { "hide_name": 1, - "bits": [ 588 ], + "bits": [ 591 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14387.f1": { "hide_name": 1, - "bits": [ 594 ], + "bits": [ 592 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.f1": { - "hide_name": 1, - "bits": [ 595 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.f2": { - "hide_name": 1, - "bits": [ 596 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.f3": { - "hide_name": 1, - "bits": [ 597 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.f4": { - "hide_name": 1, - "bits": [ 598 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.f5": { - "hide_name": 1, - "bits": [ 599 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.f6": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14391.f0": { "hide_name": 1, "bits": [ 600 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.f7": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14391.f1": { "hide_name": 1, "bits": [ 601 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.g0": { - "hide_name": 1, - "bits": [ 602 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.g1": { - "hide_name": 1, - "bits": [ 603 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.g2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14392.f0": { "hide_name": 1, "bits": [ 604 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.g3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14392.f1": { "hide_name": 1, "bits": [ 605 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.h0": { - "hide_name": 1, - "bits": [ 606 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14459.h1": { - "hide_name": 1, - "bits": [ 607 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.f0": { - "hide_name": 1, - "bits": [ 608 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.f1": { - "hide_name": 1, - "bits": [ 609 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.f2": { - "hide_name": 1, - "bits": [ 610 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.f0": { "hide_name": 1, "bits": [ 611 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.g0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.f1": { "hide_name": 1, "bits": [ 612 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14460.g1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.f2": { "hide_name": 1, "bits": [ 613 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.f3": { "hide_name": 1, "bits": [ 614 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.f4": { "hide_name": 1, "bits": [ 615 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.f5": { "hide_name": 1, "bits": [ 616 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.f6": { "hide_name": 1, "bits": [ 617 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.f4": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.f7": { "hide_name": 1, "bits": [ 618 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.f5": { - "hide_name": 1, - "bits": [ 619 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.f6": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.g0": { "hide_name": 1, "bits": [ 620 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.f7": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.g1": { "hide_name": 1, "bits": [ 621 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.g0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.g2": { "hide_name": 1, "bits": [ 622 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.g1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.g3": { "hide_name": 1, "bits": [ 623 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.g2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.h0": { "hide_name": 1, "bits": [ 624 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.g3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14393.h1": { "hide_name": 1, "bits": [ 625 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.h0": { - "hide_name": 1, - "bits": [ 626 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14463.h1": { - "hide_name": 1, - "bits": [ 627 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14398.f0": { "hide_name": 1, "bits": [ 629 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14398.f1": { "hide_name": 1, "bits": [ 630 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14399.f0": { "hide_name": 1, "bits": [ 631 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14399.f1": { "hide_name": 1, "bits": [ 632 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.f4": { - "hide_name": 1, - "bits": [ 633 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.f5": { - "hide_name": 1, - "bits": [ 634 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.f6": { - "hide_name": 1, - "bits": [ 635 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.f7": { - "hide_name": 1, - "bits": [ 636 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.g0": { - "hide_name": 1, - "bits": [ 638 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.g1": { - "hide_name": 1, - "bits": [ 639 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.g2": { - "hide_name": 1, - "bits": [ 640 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.g3": { - "hide_name": 1, - "bits": [ 641 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.h0": { - "hide_name": 1, - "bits": [ 642 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14466.h1": { - "hide_name": 1, - "bits": [ 643 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.f0": { - "hide_name": 1, - "bits": [ 645 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.f1": { - "hide_name": 1, - "bits": [ 646 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.f2": { - "hide_name": 1, - "bits": [ 647 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.f3": { - "hide_name": 1, - "bits": [ 648 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.f4": { - "hide_name": 1, - "bits": [ 649 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.f5": { - "hide_name": 1, - "bits": [ 650 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.f6": { - "hide_name": 1, - "bits": [ 651 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.f7": { - "hide_name": 1, - "bits": [ 652 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.g0": { - "hide_name": 1, - "bits": [ 653 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.g1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14413.f0": { "hide_name": 1, "bits": [ 654 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.g2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14413.f1": { "hide_name": 1, "bits": [ 655 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.g3": { - "hide_name": 1, - "bits": [ 656 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.h0": { - "hide_name": 1, - "bits": [ 658 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14468.h1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14414.f0": { "hide_name": 1, "bits": [ 659 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14414.f1": { "hide_name": 1, "bits": [ 660 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.f1": { - "hide_name": 1, - "bits": [ 661 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.f2": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14415.f0": { "hide_name": 1, "bits": [ 662 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.f3": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14415.f1": { "hide_name": 1, "bits": [ 663 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.f4": { - "hide_name": 1, - "bits": [ 664 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.f5": { - "hide_name": 1, - "bits": [ 665 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.f6": { - "hide_name": 1, - "bits": [ 666 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.f7": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14416.f0": { "hide_name": 1, "bits": [ 667 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.g0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14416.f1": { "hide_name": 1, "bits": [ 668 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.g1": { - "hide_name": 1, - "bits": [ 669 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.g2": { - "hide_name": 1, - "bits": [ 670 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.g3": { - "hide_name": 1, - "bits": [ 671 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.h0": { - "hide_name": 1, - "bits": [ 672 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14469.h1": { - "hide_name": 1, - "bits": [ 673 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.f0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14419.f0": { "hide_name": 1, "bits": [ 675 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.f1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14419.f1": { "hide_name": 1, "bits": [ 676 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.f2": { - "hide_name": 1, - "bits": [ 677 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.f3": { - "hide_name": 1, - "bits": [ 678 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.f4": { - "hide_name": 1, - "bits": [ 679 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.f5": { - "hide_name": 1, - "bits": [ 680 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.f6": { - "hide_name": 1, - "bits": [ 681 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.f7": { - "hide_name": 1, - "bits": [ 682 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.g0": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14422.f0": { "hide_name": 1, "bits": [ 683 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.g1": { + "$abc$14149$auto$blifparse.cc:492:parse_blif$14422.f1": { "hide_name": 1, "bits": [ 684 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.g2": { - "hide_name": 1, - "bits": [ 685 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.g3": { - "hide_name": 1, - "bits": [ 686 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.h0": { - "hide_name": 1, - "bits": [ 687 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14471.h1": { - "hide_name": 1, - "bits": [ 688 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14475.f0": { - "hide_name": 1, - "bits": [ 694 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14475.f1": { + "$abc$14149$n1002": { "hide_name": 1, - "bits": [ 695 ], + "bits": [ 666 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.f0": { + "$abc$14149$n1005": { "hide_name": 1, - "bits": [ 701 ], + "bits": [ 231 ], "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" } }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.f1": { - "hide_name": 1, - "bits": [ 702 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.f2": { - "hide_name": 1, - "bits": [ 703 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.f3": { - "hide_name": 1, - "bits": [ 704 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.g0": { - "hide_name": 1, - "bits": [ 705 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14476.g1": { - "hide_name": 1, - "bits": [ 706 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.f0": { - "hide_name": 1, - "bits": [ 709 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.f1": { - "hide_name": 1, - "bits": [ 710 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.f2": { - "hide_name": 1, - "bits": [ 711 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.f3": { - "hide_name": 1, - "bits": [ 712 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.f4": { - "hide_name": 1, - "bits": [ 713 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.f5": { - "hide_name": 1, - "bits": [ 714 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.f6": { - "hide_name": 1, - "bits": [ 715 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.f7": { - "hide_name": 1, - "bits": [ 716 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.g0": { - "hide_name": 1, - "bits": [ 717 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.g1": { - "hide_name": 1, - "bits": [ 718 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.g2": { - "hide_name": 1, - "bits": [ 719 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.g3": { - "hide_name": 1, - "bits": [ 720 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.h0": { - "hide_name": 1, - "bits": [ 721 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14478.h1": { - "hide_name": 1, - "bits": [ 722 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14480.f0": { - "hide_name": 1, - "bits": [ 724 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14480.f1": { - "hide_name": 1, - "bits": [ 725 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14482.f0": { - "hide_name": 1, - "bits": [ 727 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14482.f1": { - "hide_name": 1, - "bits": [ 728 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14494.f0": { - "hide_name": 1, - "bits": [ 747 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14494.f1": { - "hide_name": 1, - "bits": [ 748 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.f0": { - "hide_name": 1, - "bits": [ 752 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.f1": { - "hide_name": 1, - "bits": [ 753 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.f2": { - "hide_name": 1, - "bits": [ 754 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.f3": { - "hide_name": 1, - "bits": [ 755 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.g0": { - "hide_name": 1, - "bits": [ 756 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14499.g1": { - "hide_name": 1, - "bits": [ 757 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14502.f0": { - "hide_name": 1, - "bits": [ 764 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14502.f1": { - "hide_name": 1, - "bits": [ 765 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14505.f0": { - "hide_name": 1, - "bits": [ 771 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14505.f1": { - "hide_name": 1, - "bits": [ 772 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14507.f0": { - "hide_name": 1, - "bits": [ 777 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14507.f1": { - "hide_name": 1, - "bits": [ 778 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14518.f0": { - "hide_name": 1, - "bits": [ 798 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14518.f1": { - "hide_name": 1, - "bits": [ 799 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14521.f0": { - "hide_name": 1, - "bits": [ 804 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14521.f1": { - "hide_name": 1, - "bits": [ 805 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14526.f0": { - "hide_name": 1, - "bits": [ 814 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14526.f1": { - "hide_name": 1, - "bits": [ 815 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.f0": { - "hide_name": 1, - "bits": [ 825 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.f1": { - "hide_name": 1, - "bits": [ 826 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.f2": { - "hide_name": 1, - "bits": [ 827 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.f3": { - "hide_name": 1, - "bits": [ 828 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.g0": { - "hide_name": 1, - "bits": [ 829 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$auto$blifparse.cc:492:parse_blif$14532.g1": { - "hide_name": 1, - "bits": [ 830 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" - } - }, - "$abc$14230$n1037": { + "$abc$14149$n1014": { "hide_name": 1, "bits": [ 432 ], "attributes": { } }, - "$abc$14230$n1086": { + "$abc$14149$n1052": { "hide_name": 1, - "bits": [ 873 ], + "bits": [ 441 ], "attributes": { } }, - "$abc$14230$n1097": { + "$abc$14149$n1114": { "hide_name": 1, - "bits": [ 874 ], + "bits": [ 487 ], "attributes": { } }, - "$abc$14230$n1099": { + "$abc$14149$n1156": { "hide_name": 1, - "bits": [ 875 ], + "bits": [ 706 ], "attributes": { } }, - "$abc$14230$n11": { + "$abc$14149$n1232": { "hide_name": 1, - "bits": [ 34 ], + "bits": [ 149 ], "attributes": { } }, - "$abc$14230$n1100": { + "$abc$14149$n1294": { "hide_name": 1, - "bits": [ 876 ], + "bits": [ 188 ], "attributes": { } }, - "$abc$14230$n1112": { + "$abc$14149$n1361": { "hide_name": 1, - "bits": [ 877 ], + "bits": [ 521 ], "attributes": { } }, - "$abc$14230$n1138": { - "hide_name": 1, - "bits": [ 878 ], - "attributes": { - } - }, - "$abc$14230$n1185": { - "hide_name": 1, - "bits": [ 295 ], - "attributes": { - } - }, - "$abc$14230$n1188": { - "hide_name": 1, - "bits": [ 879 ], - "attributes": { - } - }, - "$abc$14230$n1190": { - "hide_name": 1, - "bits": [ 880 ], - "attributes": { - } - }, - "$abc$14230$n1194": { - "hide_name": 1, - "bits": [ 280 ], - "attributes": { - } - }, - "$abc$14230$n1207": { - "hide_name": 1, - "bits": [ 881 ], - "attributes": { - } - }, - "$abc$14230$n1212": { - "hide_name": 1, - "bits": [ 882 ], - "attributes": { - } - }, - "$abc$14230$n1217": { - "hide_name": 1, - "bits": [ 883 ], - "attributes": { - } - }, - "$abc$14230$n1223": { - "hide_name": 1, - "bits": [ 884 ], - "attributes": { - } - }, - "$abc$14230$n1250": { - "hide_name": 1, - "bits": [ 885 ], - "attributes": { - } - }, - "$abc$14230$n1267": { - "hide_name": 1, - "bits": [ 886 ], - "attributes": { - } - }, - "$abc$14230$n1278": { - "hide_name": 1, - "bits": [ 887 ], - "attributes": { - } - }, - "$abc$14230$n1284": { - "hide_name": 1, - "bits": [ 888 ], - "attributes": { - } - }, - "$abc$14230$n1295": { - "hide_name": 1, - "bits": [ 889 ], - "attributes": { - } - }, - "$abc$14230$n1297": { - "hide_name": 1, - "bits": [ 857 ], - "attributes": { - } - }, - "$abc$14230$n1318": { - "hide_name": 1, - "bits": [ 890 ], - "attributes": { - } - }, - "$abc$14230$n14": { - "hide_name": 1, - "bits": [ 37 ], - "attributes": { - } - }, - "$abc$14230$n1490": { - "hide_name": 1, - "bits": [ 535 ], - "attributes": { - } - }, - "$abc$14230$n1497": { - "hide_name": 1, - "bits": [ 537 ], - "attributes": { - } - }, - "$abc$14230$n1587": { - "hide_name": 1, - "bits": [ 256 ], - "attributes": { - } - }, - "$abc$14230$n1588": { - "hide_name": 1, - "bits": [ 248 ], - "attributes": { - } - }, - "$abc$14230$n1589": { - "hide_name": 1, - "bits": [ 257 ], - "attributes": { - } - }, - "$abc$14230$n1606": { - "hide_name": 1, - "bits": [ 263 ], - "attributes": { - } - }, - "$abc$14230$n1612": { - "hide_name": 1, - "bits": [ 258 ], - "attributes": { - } - }, - "$abc$14230$n1615": { - "hide_name": 1, - "bits": [ 264 ], - "attributes": { - } - }, - "$abc$14230$n1618": { - "hide_name": 1, - "bits": [ 891 ], - "attributes": { - } - }, - "$abc$14230$n1623": { - "hide_name": 1, - "bits": [ 270 ], - "attributes": { - } - }, - "$abc$14230$n1624": { - "hide_name": 1, - "bits": [ 265 ], - "attributes": { - } - }, - "$abc$14230$n1625": { - "hide_name": 1, - "bits": [ 271 ], - "attributes": { - } - }, - "$abc$14230$n1626": { - "hide_name": 1, - "bits": [ 277 ], - "attributes": { - } - }, - "$abc$14230$n1627": { - "hide_name": 1, - "bits": [ 272 ], - "attributes": { - } - }, - "$abc$14230$n1628": { - "hide_name": 1, - "bits": [ 278 ], - "attributes": { - } - }, - "$abc$14230$n1630": { - "hide_name": 1, - "bits": [ 730 ], - "attributes": { - } - }, - "$abc$14230$n1646": { - "hide_name": 1, - "bits": [ 743 ], - "attributes": { - } - }, - "$abc$14230$n1649": { - "hide_name": 1, - "bits": [ 744 ], - "attributes": { - } - }, - "$abc$14230$n1665": { - "hide_name": 1, - "bits": [ 892 ], - "attributes": { - } - }, - "$abc$14230$n1670": { - "hide_name": 1, - "bits": [ 758 ], - "attributes": { - } - }, - "$abc$14230$n17": { - "hide_name": 1, - "bits": [ 40 ], - "attributes": { - } - }, - "$abc$14230$n1703": { - "hide_name": 1, - "bits": [ 372 ], - "attributes": { - } - }, - "$abc$14230$n1704": { - "hide_name": 1, - "bits": [ 794 ], - "attributes": { - } - }, - "$abc$14230$n1705": { - "hide_name": 1, - "bits": [ 376 ], - "attributes": { - } - }, - "$abc$14230$n1707": { - "hide_name": 1, - "bits": [ 796 ], - "attributes": { - } - }, - "$abc$14230$n1708": { - "hide_name": 1, - "bits": [ 390 ], - "attributes": { - } - }, - "$abc$14230$n1710": { - "hide_name": 1, - "bits": [ 808 ], - "attributes": { - } - }, - "$abc$14230$n1711": { - "hide_name": 1, - "bits": [ 398 ], - "attributes": { - } - }, - "$abc$14230$n1713": { - "hide_name": 1, - "bits": [ 315 ], - "attributes": { - } - }, - "$abc$14230$n1714": { - "hide_name": 1, - "bits": [ 768 ], - "attributes": { - } - }, - "$abc$14230$n1715": { - "hide_name": 1, - "bits": [ 323 ], - "attributes": { - } - }, - "$abc$14230$n1716": { - "hide_name": 1, - "bits": [ 332 ], - "attributes": { - } - }, - "$abc$14230$n1717": { - "hide_name": 1, - "bits": [ 489 ], - "attributes": { - } - }, - "$abc$14230$n1718": { - "hide_name": 1, - "bits": [ 481 ], - "attributes": { - } - }, - "$abc$14230$n1719": { - "hide_name": 1, - "bits": [ 812 ], - "attributes": { - } - }, - "$abc$14230$n1720": { - "hide_name": 1, - "bits": [ 824 ], - "attributes": { - } - }, - "$abc$14230$n1721": { - "hide_name": 1, - "bits": [ 339 ], - "attributes": { - } - }, - "$abc$14230$n1738": { - "hide_name": 1, - "bits": [ 859 ], - "attributes": { - } - }, - "$abc$14230$n1759": { - "hide_name": 1, - "bits": [ 68 ], - "attributes": { - } - }, - "$abc$14230$n1760": { - "hide_name": 1, - "bits": [ 65 ], - "attributes": { - } - }, - "$abc$14230$n1761": { - "hide_name": 1, - "bits": [ 62 ], - "attributes": { - } - }, - "$abc$14230$n1762": { - "hide_name": 1, - "bits": [ 59 ], - "attributes": { - } - }, - "$abc$14230$n1763": { - "hide_name": 1, - "bits": [ 56 ], - "attributes": { - } - }, - "$abc$14230$n1764": { - "hide_name": 1, - "bits": [ 53 ], - "attributes": { - } - }, - "$abc$14230$n1765": { + "$abc$14149$n1522": { "hide_name": 1, "bits": [ 50 ], "attributes": { } }, - "$abc$14230$n1766": { + "$abc$14149$n1538": { "hide_name": 1, - "bits": [ 47 ], + "bits": [ 532 ], "attributes": { } }, - "$abc$14230$n1767": { + "$abc$14149$n1602": { "hide_name": 1, - "bits": [ 44 ], + "bits": [ 258 ], "attributes": { } }, - "$abc$14230$n1768": { - "hide_name": 1, - "bits": [ 41 ], - "attributes": { - } - }, - "$abc$14230$n1769": { - "hide_name": 1, - "bits": [ 38 ], - "attributes": { - } - }, - "$abc$14230$n1770": { - "hide_name": 1, - "bits": [ 35 ], - "attributes": { - } - }, - "$abc$14230$n1771": { - "hide_name": 1, - "bits": [ 32 ], - "attributes": { - } - }, - "$abc$14230$n1772": { - "hide_name": 1, - "bits": [ 29 ], - "attributes": { - } - }, - "$abc$14230$n1773": { - "hide_name": 1, - "bits": [ 26 ], - "attributes": { - } - }, - "$abc$14230$n1774": { - "hide_name": 1, - "bits": [ 18 ], - "attributes": { - } - }, - "$abc$14230$n1775": { - "hide_name": 1, - "bits": [ 832 ], - "attributes": { - } - }, - "$abc$14230$n1823": { - "hide_name": 1, - "bits": [ 893 ], - "attributes": { - } - }, - "$abc$14230$n1831": { - "hide_name": 1, - "bits": [ 894 ], - "attributes": { - } - }, - "$abc$14230$n1851": { - "hide_name": 1, - "bits": [ 839 ], - "attributes": { - } - }, - "$abc$14230$n1853": { - "hide_name": 1, - "bits": [ 840 ], - "attributes": { - } - }, - "$abc$14230$n1855": { - "hide_name": 1, - "bits": [ 841 ], - "attributes": { - } - }, - "$abc$14230$n1857": { - "hide_name": 1, - "bits": [ 842 ], - "attributes": { - } - }, - "$abc$14230$n1859": { - "hide_name": 1, - "bits": [ 843 ], - "attributes": { - } - }, - "$abc$14230$n1861": { - "hide_name": 1, - "bits": [ 844 ], - "attributes": { - } - }, - "$abc$14230$n1863": { - "hide_name": 1, - "bits": [ 845 ], - "attributes": { - } - }, - "$abc$14230$n1865": { - "hide_name": 1, - "bits": [ 846 ], - "attributes": { - } - }, - "$abc$14230$n1867": { - "hide_name": 1, - "bits": [ 847 ], - "attributes": { - } - }, - "$abc$14230$n1869": { - "hide_name": 1, - "bits": [ 848 ], - "attributes": { - } - }, - "$abc$14230$n1871": { - "hide_name": 1, - "bits": [ 849 ], - "attributes": { - } - }, - "$abc$14230$n1873": { - "hide_name": 1, - "bits": [ 850 ], - "attributes": { - } - }, - "$abc$14230$n1875": { - "hide_name": 1, - "bits": [ 851 ], - "attributes": { - } - }, - "$abc$14230$n1877": { - "hide_name": 1, - "bits": [ 852 ], - "attributes": { - } - }, - "$abc$14230$n1879": { - "hide_name": 1, - "bits": [ 853 ], - "attributes": { - } - }, - "$abc$14230$n1881": { - "hide_name": 1, - "bits": [ 854 ], - "attributes": { - } - }, - "$abc$14230$n1955": { - "hide_name": 1, - "bits": [ 895 ], - "attributes": { - } - }, - "$abc$14230$n2": { - "hide_name": 1, - "bits": [ 22 ], - "attributes": { - } - }, - "$abc$14230$n20": { - "hide_name": 1, - "bits": [ 43 ], - "attributes": { - } - }, - "$abc$14230$n23": { - "hide_name": 1, - "bits": [ 46 ], - "attributes": { - } - }, - "$abc$14230$n26": { - "hide_name": 1, - "bits": [ 49 ], - "attributes": { - } - }, - "$abc$14230$n29": { - "hide_name": 1, - "bits": [ 52 ], - "attributes": { - } - }, - "$abc$14230$n32": { - "hide_name": 1, - "bits": [ 55 ], - "attributes": { - } - }, - "$abc$14230$n35": { - "hide_name": 1, - "bits": [ 58 ], - "attributes": { - } - }, - "$abc$14230$n38": { - "hide_name": 1, - "bits": [ 61 ], - "attributes": { - } - }, - "$abc$14230$n41": { - "hide_name": 1, - "bits": [ 64 ], - "attributes": { - } - }, - "$abc$14230$n430": { - "hide_name": 1, - "bits": [ 74 ], - "attributes": { - } - }, - "$abc$14230$n44": { - "hide_name": 1, - "bits": [ 67 ], - "attributes": { - } - }, - "$abc$14230$n462": { - "hide_name": 1, - "bits": [ 157 ], - "attributes": { - } - }, - "$abc$14230$n47": { - "hide_name": 1, - "bits": [ 70 ], - "attributes": { - } - }, - "$abc$14230$n474": { - "hide_name": 1, - "bits": [ 860 ], - "attributes": { - } - }, - "$abc$14230$n481": { - "hide_name": 1, - "bits": [ 861 ], - "attributes": { - } - }, - "$abc$14230$n488": { - "hide_name": 1, - "bits": [ 862 ], - "attributes": { - } - }, - "$abc$14230$n494": { - "hide_name": 1, - "bits": [ 863 ], - "attributes": { - } - }, - "$abc$14230$n5": { - "hide_name": 1, - "bits": [ 28 ], - "attributes": { - } - }, - "$abc$14230$n50": { - "hide_name": 1, - "bits": [ 21 ], - "attributes": { - } - }, - "$abc$14230$n500": { - "hide_name": 1, - "bits": [ 864 ], - "attributes": { - } - }, - "$abc$14230$n507": { - "hide_name": 1, - "bits": [ 865 ], - "attributes": { - } - }, - "$abc$14230$n514": { - "hide_name": 1, - "bits": [ 866 ], - "attributes": { - } - }, - "$abc$14230$n521": { - "hide_name": 1, - "bits": [ 867 ], - "attributes": { - } - }, - "$abc$14230$n526_1": { - "hide_name": 1, - "bits": [ 71 ], - "attributes": { - } - }, - "$abc$14230$n527": { - "hide_name": 1, - "bits": [ 75 ], - "attributes": { - } - }, - "$abc$14230$n528": { - "hide_name": 1, - "bits": [ 868 ], - "attributes": { - } - }, - "$abc$14230$n528_1": { - "hide_name": 1, - "bits": [ 76 ], - "attributes": { - } - }, - "$abc$14230$n529": { - "hide_name": 1, - "bits": [ 72 ], - "attributes": { - } - }, - "$abc$14230$n530": { - "hide_name": 1, - "bits": [ 93 ], - "attributes": { - } - }, - "$abc$14230$n531": { - "hide_name": 1, - "bits": [ 92 ], - "attributes": { - } - }, - "$abc$14230$n532": { - "hide_name": 1, - "bits": [ 91 ], - "attributes": { - } - }, - "$abc$14230$n533_1": { - "hide_name": 1, - "bits": [ 73 ], - "attributes": { - } - }, - "$abc$14230$n534": { - "hide_name": 1, - "bits": [ 114 ], - "attributes": { - } - }, - "$abc$14230$n535": { - "hide_name": 1, - "bits": [ 869 ], - "attributes": { - } - }, - "$abc$14230$n535_1": { - "hide_name": 1, - "bits": [ 115 ], - "attributes": { - } - }, - "$abc$14230$n537": { - "hide_name": 1, - "bits": [ 124 ], - "attributes": { - } - }, - "$abc$14230$n538": { - "hide_name": 1, - "bits": [ 129 ], - "attributes": { - } - }, - "$abc$14230$n539_1": { - "hide_name": 1, - "bits": [ 127 ], - "attributes": { - } - }, - "$abc$14230$n540": { - "hide_name": 1, - "bits": [ 138 ], - "attributes": { - } - }, - "$abc$14230$n541": { - "hide_name": 1, - "bits": [ 870 ], - "attributes": { - } - }, - "$abc$14230$n541_1": { - "hide_name": 1, - "bits": [ 139 ], - "attributes": { - } - }, - "$abc$14230$n547": { - "hide_name": 1, - "bits": [ 156 ], - "attributes": { - } - }, - "$abc$14230$n549": { - "hide_name": 1, - "bits": [ 159 ], - "attributes": { - } - }, - "$abc$14230$n550": { - "hide_name": 1, - "bits": [ 158 ], - "attributes": { - } - }, - "$abc$14230$n551": { - "hide_name": 1, - "bits": [ 164 ], - "attributes": { - } - }, - "$abc$14230$n553": { - "hide_name": 1, - "bits": [ 167 ], - "attributes": { - } - }, - "$abc$14230$n554": { - "hide_name": 1, - "bits": [ 165 ], - "attributes": { - } - }, - "$abc$14230$n555": { - "hide_name": 1, - "bits": [ 173 ], - "attributes": { - } - }, - "$abc$14230$n556_1": { - "hide_name": 1, - "bits": [ 170 ], - "attributes": { - } - }, - "$abc$14230$n558": { - "hide_name": 1, - "bits": [ 175 ], - "attributes": { - } - }, - "$abc$14230$n560_1": { - "hide_name": 1, - "bits": [ 179 ], - "attributes": { - } - }, - "$abc$14230$n561": { - "hide_name": 1, - "bits": [ 183 ], - "attributes": { - } - }, - "$abc$14230$n562": { - "hide_name": 1, - "bits": [ 229 ], - "attributes": { - } - }, - "$abc$14230$n563": { - "hide_name": 1, - "bits": [ 184 ], - "attributes": { - } - }, - "$abc$14230$n564": { - "hide_name": 1, - "bits": [ 232 ], - "attributes": { - } - }, - "$abc$14230$n565": { - "hide_name": 1, - "bits": [ 189 ], - "attributes": { - } - }, - "$abc$14230$n567": { - "hide_name": 1, - "bits": [ 233 ], - "attributes": { - } - }, - "$abc$14230$n567_1": { - "hide_name": 1, - "bits": [ 194 ], - "attributes": { - } - }, - "$abc$14230$n568": { - "hide_name": 1, - "bits": [ 234 ], - "attributes": { - } - }, - "$abc$14230$n568_1": { - "hide_name": 1, - "bits": [ 198 ], - "attributes": { - } - }, - "$abc$14230$n570": { - "hide_name": 1, - "bits": [ 199 ], - "attributes": { - } - }, - "$abc$14230$n571": { - "hide_name": 1, - "bits": [ 202 ], - "attributes": { - } - }, - "$abc$14230$n573": { - "hide_name": 1, - "bits": [ 206 ], - "attributes": { - } - }, - "$abc$14230$n575": { - "hide_name": 1, - "bits": [ 210 ], - "attributes": { - } - }, - "$abc$14230$n576": { - "hide_name": 1, - "bits": [ 213 ], - "attributes": { - } - }, - "$abc$14230$n578": { - "hide_name": 1, - "bits": [ 217 ], - "attributes": { - } - }, - "$abc$14230$n580": { - "hide_name": 1, - "bits": [ 221 ], - "attributes": { - } - }, - "$abc$14230$n587": { - "hide_name": 1, - "bits": [ 240 ], - "attributes": { - } - }, - "$abc$14230$n588": { - "hide_name": 1, - "bits": [ 237 ], - "attributes": { - } - }, - "$abc$14230$n588_1": { - "hide_name": 1, - "bits": [ 244 ], - "attributes": { - } - }, - "$abc$14230$n589": { - "hide_name": 1, - "bits": [ 245 ], - "attributes": { - } - }, - "$abc$14230$n590": { - "hide_name": 1, - "bits": [ 239 ], - "attributes": { - } - }, - "$abc$14230$n591": { - "hide_name": 1, - "bits": [ 238 ], - "attributes": { - } - }, - "$abc$14230$n593": { + "$abc$14149$n1603": { "hide_name": 1, "bits": [ 250 ], "attributes": { } }, - "$abc$14230$n594": { - "hide_name": 1, - "bits": [ 251 ], - "attributes": { - } - }, - "$abc$14230$n596_1": { + "$abc$14149$n1604": { "hide_name": 1, "bits": [ 259 ], "attributes": { } }, - "$abc$14230$n597": { + "$abc$14149$n1606": { + "hide_name": 1, + "bits": [ 265 ], + "attributes": { + } + }, + "$abc$14149$n1607": { "hide_name": 1, "bits": [ 260 ], "attributes": { } }, - "$abc$14230$n599": { + "$abc$14149$n1608": { "hide_name": 1, "bits": [ 266 ], "attributes": { } }, - "$abc$14230$n600": { + "$abc$14149$n1610": { + "hide_name": 1, + "bits": [ 272 ], + "attributes": { + } + }, + "$abc$14149$n1611": { "hide_name": 1, "bits": [ 267 ], "attributes": { } }, - "$abc$14230$n602": { - "hide_name": 1, - "bits": [ 253 ], - "attributes": { - } - }, - "$abc$14230$n602_1": { + "$abc$14149$n1612": { "hide_name": 1, "bits": [ 273 ], "attributes": { } }, - "$abc$14230$n603": { + "$abc$14149$n1614": { + "hide_name": 1, + "bits": [ 279 ], + "attributes": { + } + }, + "$abc$14149$n1615": { "hide_name": 1, "bits": [ 274 ], "attributes": { } }, - "$abc$14230$n608": { + "$abc$14149$n1616": { "hide_name": 1, - "bits": [ 255 ], + "bits": [ 280 ], "attributes": { } }, - "$abc$14230$n608_1": { + "$abc$14149$n1679": { "hide_name": 1, - "bits": [ 299 ], + "bits": [ 486 ], "attributes": { } }, - "$abc$14230$n610": { + "$abc$14149$n1698": { "hide_name": 1, - "bits": [ 302 ], + "bits": [ 282 ], "attributes": { } }, - "$abc$14230$n611_1": { + "$abc$14149$n1699": { "hide_name": 1, - "bits": [ 306 ], + "bits": [ 522 ], "attributes": { } }, - "$abc$14230$n612": { - "hide_name": 1, - "bits": [ 307 ], - "attributes": { - } - }, - "$abc$14230$n613": { - "hide_name": 1, - "bits": [ 304 ], - "attributes": { - } - }, - "$abc$14230$n614": { - "hide_name": 1, - "bits": [ 314 ], - "attributes": { - } - }, - "$abc$14230$n615": { - "hide_name": 1, - "bits": [ 303 ], - "attributes": { - } - }, - "$abc$14230$n616": { - "hide_name": 1, - "bits": [ 301 ], - "attributes": { - } - }, - "$abc$14230$n617": { - "hide_name": 1, - "bits": [ 318 ], - "attributes": { - } - }, - "$abc$14230$n619": { - "hide_name": 1, - "bits": [ 321 ], - "attributes": { - } - }, - "$abc$14230$n620": { - "hide_name": 1, - "bits": [ 320 ], - "attributes": { - } - }, - "$abc$14230$n621": { - "hide_name": 1, - "bits": [ 319 ], - "attributes": { - } - }, - "$abc$14230$n623": { + "$abc$14149$n1700": { "hide_name": 1, "bits": [ 326 ], "attributes": { } }, - "$abc$14230$n624": { + "$abc$14149$n1701": { "hide_name": 1, - "bits": [ 330 ], + "bits": [ 340 ], "attributes": { } }, - "$abc$14230$n625": { + "$abc$14149$n1702": { "hide_name": 1, - "bits": [ 327 ], + "bits": [ 438 ], "attributes": { } }, - "$abc$14230$n626": { + "$abc$14149$n1703": { "hide_name": 1, - "bits": [ 262 ], + "bits": [ 442 ], "attributes": { } }, - "$abc$14230$n627_1": { - "hide_name": 1, - "bits": [ 337 ], - "attributes": { - } - }, - "$abc$14230$n628": { - "hide_name": 1, - "bits": [ 269 ], - "attributes": { - } - }, - "$abc$14230$n628_1": { - "hide_name": 1, - "bits": [ 336 ], - "attributes": { - } - }, - "$abc$14230$n629": { - "hide_name": 1, - "bits": [ 335 ], - "attributes": { - } - }, - "$abc$14230$n632": { - "hide_name": 1, - "bits": [ 276 ], - "attributes": { - } - }, - "$abc$14230$n632_1": { - "hide_name": 1, - "bits": [ 345 ], - "attributes": { - } - }, - "$abc$14230$n633": { - "hide_name": 1, - "bits": [ 344 ], - "attributes": { - } - }, - "$abc$14230$n634": { + "$abc$14149$n1704": { "hide_name": 1, "bits": [ 343 ], "attributes": { } }, - "$abc$14230$n636": { + "$abc$14149$n1705": { "hide_name": 1, "bits": [ 352 ], "attributes": { } }, - "$abc$14230$n637": { - "hide_name": 1, - "bits": [ 351 ], - "attributes": { - } - }, - "$abc$14230$n638": { - "hide_name": 1, - "bits": [ 350 ], - "attributes": { - } - }, - "$abc$14230$n640": { - "hide_name": 1, - "bits": [ 359 ], - "attributes": { - } - }, - "$abc$14230$n641": { - "hide_name": 1, - "bits": [ 358 ], - "attributes": { - } - }, - "$abc$14230$n642": { - "hide_name": 1, - "bits": [ 357 ], - "attributes": { - } - }, - "$abc$14230$n644": { - "hide_name": 1, - "bits": [ 364 ], - "attributes": { - } - }, - "$abc$14230$n645": { - "hide_name": 1, - "bits": [ 366 ], - "attributes": { - } - }, - "$abc$14230$n648": { - "hide_name": 1, - "bits": [ 370 ], - "attributes": { - } - }, - "$abc$14230$n649": { - "hide_name": 1, - "bits": [ 369 ], - "attributes": { - } - }, - "$abc$14230$n650": { - "hide_name": 1, - "bits": [ 368 ], - "attributes": { - } - }, - "$abc$14230$n652": { - "hide_name": 1, - "bits": [ 375 ], - "attributes": { - } - }, - "$abc$14230$n653": { - "hide_name": 1, - "bits": [ 380 ], - "attributes": { - } - }, - "$abc$14230$n654": { - "hide_name": 1, - "bits": [ 377 ], - "attributes": { - } - }, - "$abc$14230$n656": { - "hide_name": 1, - "bits": [ 384 ], - "attributes": { - } - }, - "$abc$14230$n658": { - "hide_name": 1, - "bits": [ 388 ], - "attributes": { - } - }, - "$abc$14230$n659": { - "hide_name": 1, - "bits": [ 387 ], - "attributes": { - } - }, - "$abc$14230$n660": { - "hide_name": 1, - "bits": [ 386 ], - "attributes": { - } - }, - "$abc$14230$n663": { - "hide_name": 1, - "bits": [ 396 ], - "attributes": { - } - }, - "$abc$14230$n664": { - "hide_name": 1, - "bits": [ 395 ], - "attributes": { - } - }, - "$abc$14230$n665": { - "hide_name": 1, - "bits": [ 394 ], - "attributes": { - } - }, - "$abc$14230$n668": { - "hide_name": 1, - "bits": [ 406 ], - "attributes": { - } - }, - "$abc$14230$n669": { - "hide_name": 1, - "bits": [ 411 ], - "attributes": { - } - }, - "$abc$14230$n670": { - "hide_name": 1, - "bits": [ 409 ], - "attributes": { - } - }, - "$abc$14230$n671": { - "hide_name": 1, - "bits": [ 412 ], - "attributes": { - } - }, - "$abc$14230$n673": { - "hide_name": 1, - "bits": [ 413 ], - "attributes": { - } - }, - "$abc$14230$n674": { - "hide_name": 1, - "bits": [ 416 ], - "attributes": { - } - }, - "$abc$14230$n676": { - "hide_name": 1, - "bits": [ 420 ], - "attributes": { - } - }, - "$abc$14230$n688": { - "hide_name": 1, - "bits": [ 254 ], - "attributes": { - } - }, - "$abc$14230$n689": { - "hide_name": 1, - "bits": [ 249 ], - "attributes": { - } - }, - "$abc$14230$n699": { - "hide_name": 1, - "bits": [ 473 ], - "attributes": { - } - }, - "$abc$14230$n701": { - "hide_name": 1, - "bits": [ 479 ], - "attributes": { - } - }, - "$abc$14230$n702": { - "hide_name": 1, - "bits": [ 478 ], - "attributes": { - } - }, - "$abc$14230$n703": { - "hide_name": 1, - "bits": [ 477 ], - "attributes": { - } - }, - "$abc$14230$n705": { - "hide_name": 1, - "bits": [ 485 ], - "attributes": { - } - }, - "$abc$14230$n707_1": { - "hide_name": 1, - "bits": [ 496 ], - "attributes": { - } - }, - "$abc$14230$n728": { - "hide_name": 1, - "bits": [ 538 ], - "attributes": { - } - }, - "$abc$14230$n729": { - "hide_name": 1, - "bits": [ 546 ], - "attributes": { - } - }, - "$abc$14230$n730": { - "hide_name": 1, - "bits": [ 539 ], - "attributes": { - } - }, - "$abc$14230$n731": { - "hide_name": 1, - "bits": [ 540 ], - "attributes": { - } - }, - "$abc$14230$n732": { - "hide_name": 1, - "bits": [ 541 ], - "attributes": { - } - }, - "$abc$14230$n733": { - "hide_name": 1, - "bits": [ 561 ], - "attributes": { - } - }, - "$abc$14230$n734": { - "hide_name": 1, - "bits": [ 544 ], - "attributes": { - } - }, - "$abc$14230$n736": { - "hide_name": 1, - "bits": [ 572 ], - "attributes": { - } - }, - "$abc$14230$n737": { - "hide_name": 1, - "bits": [ 591 ], - "attributes": { - } - }, - "$abc$14230$n738_1": { - "hide_name": 1, - "bits": [ 592 ], - "attributes": { - } - }, - "$abc$14230$n739": { - "hide_name": 1, - "bits": [ 593 ], - "attributes": { - } - }, - "$abc$14230$n740": { - "hide_name": 1, - "bits": [ 570 ], - "attributes": { - } - }, - "$abc$14230$n741": { - "hide_name": 1, - "bits": [ 571 ], - "attributes": { - } - }, - "$abc$14230$n742": { - "hide_name": 1, - "bits": [ 628 ], - "attributes": { - } - }, - "$abc$14230$n743": { - "hide_name": 1, - "bits": [ 589 ], - "attributes": { - } - }, - "$abc$14230$n744": { - "hide_name": 1, - "bits": [ 637 ], - "attributes": { - } - }, - "$abc$14230$n745": { - "hide_name": 1, - "bits": [ 581 ], - "attributes": { - } - }, - "$abc$14230$n746": { - "hide_name": 1, - "bits": [ 657 ], - "attributes": { - } - }, - "$abc$14230$n747": { - "hide_name": 1, - "bits": [ 644 ], - "attributes": { - } - }, - "$abc$14230$n748": { - "hide_name": 1, - "bits": [ 586 ], - "attributes": { - } - }, - "$abc$14230$n749": { - "hide_name": 1, - "bits": [ 674 ], - "attributes": { - } - }, - "$abc$14230$n754": { - "hide_name": 1, - "bits": [ 697 ], - "attributes": { - } - }, - "$abc$14230$n755": { - "hide_name": 1, - "bits": [ 698 ], - "attributes": { - } - }, - "$abc$14230$n756": { - "hide_name": 1, - "bits": [ 699 ], - "attributes": { - } - }, - "$abc$14230$n757": { - "hide_name": 1, - "bits": [ 723 ], - "attributes": { - } - }, - "$abc$14230$n758_1": { - "hide_name": 1, - "bits": [ 700 ], - "attributes": { - } - }, - "$abc$14230$n759": { - "hide_name": 1, - "bits": [ 726 ], - "attributes": { - } - }, - "$abc$14230$n760": { - "hide_name": 1, - "bits": [ 707 ], - "attributes": { - } - }, - "$abc$14230$n761_1": { - "hide_name": 1, - "bits": [ 729 ], - "attributes": { - } - }, - "$abc$14230$n764": { - "hide_name": 1, - "bits": [ 731 ], - "attributes": { - } - }, - "$abc$14230$n766_1": { - "hide_name": 1, - "bits": [ 735 ], - "attributes": { - } - }, - "$abc$14230$n772_1": { - "hide_name": 1, - "bits": [ 745 ], - "attributes": { - } - }, - "$abc$14230$n773_1": { - "hide_name": 1, - "bits": [ 750 ], - "attributes": { - } - }, - "$abc$14230$n774": { - "hide_name": 1, - "bits": [ 746 ], - "attributes": { - } - }, - "$abc$14230$n775": { - "hide_name": 1, - "bits": [ 751 ], - "attributes": { - } - }, - "$abc$14230$n778": { - "hide_name": 1, - "bits": [ 342 ], - "attributes": { - } - }, - "$abc$14230$n780": { - "hide_name": 1, - "bits": [ 766 ], - "attributes": { - } - }, - "$abc$14230$n781": { - "hide_name": 1, - "bits": [ 763 ], - "attributes": { - } - }, - "$abc$14230$n785": { - "hide_name": 1, - "bits": [ 776 ], - "attributes": { - } - }, - "$abc$14230$n792_1": { - "hide_name": 1, - "bits": [ 792 ], - "attributes": { - } - }, - "$abc$14230$n793_1": { - "hide_name": 1, - "bits": [ 791 ], - "attributes": { - } - }, - "$abc$14230$n794": { - "hide_name": 1, - "bits": [ 790 ], - "attributes": { - } - }, - "$abc$14230$n795": { - "hide_name": 1, - "bits": [ 871 ], - "attributes": { - } - }, - "$abc$14230$n796": { - "hide_name": 1, - "bits": [ 800 ], - "attributes": { - } - }, - "$abc$14230$n797": { - "hide_name": 1, - "bits": [ 365 ], - "attributes": { - } - }, - "$abc$14230$n797_1": { - "hide_name": 1, - "bits": [ 797 ], - "attributes": { - } - }, - "$abc$14230$n799": { - "hide_name": 1, - "bits": [ 806 ], - "attributes": { - } - }, - "$abc$14230$n8": { - "hide_name": 1, - "bits": [ 31 ], - "attributes": { - } - }, - "$abc$14230$n800": { - "hide_name": 1, - "bits": [ 367 ], - "attributes": { - } - }, - "$abc$14230$n800_1": { - "hide_name": 1, - "bits": [ 803 ], - "attributes": { - } - }, - "$abc$14230$n804": { - "hide_name": 1, - "bits": [ 816 ], - "attributes": { - } - }, - "$abc$14230$n805": { - "hide_name": 1, - "bits": [ 813 ], - "attributes": { - } - }, - "$abc$14230$n807_1": { - "hide_name": 1, - "bits": [ 819 ], - "attributes": { - } - }, - "$abc$14230$n808": { - "hide_name": 1, - "bits": [ 820 ], - "attributes": { - } - }, - "$abc$14230$n809": { - "hide_name": 1, - "bits": [ 821 ], - "attributes": { - } - }, - "$abc$14230$n811": { - "hide_name": 1, - "bits": [ 831 ], - "attributes": { - } - }, - "$abc$14230$n824": { - "hide_name": 1, - "bits": [ 401 ], - "attributes": { - } - }, - "$abc$14230$n833": { - "hide_name": 1, - "bits": [ 872 ], - "attributes": { - } - }, - "$abc$14230$n874": { - "hide_name": 1, - "bits": [ 421 ], - "attributes": { - } - }, - "$abc$14230$n877": { - "hide_name": 1, - "bits": [ 422 ], - "attributes": { - } - }, - "$abc$14230$n882": { - "hide_name": 1, - "bits": [ 423 ], - "attributes": { - } - }, - "$abc$14230$n887": { - "hide_name": 1, - "bits": [ 424 ], - "attributes": { - } - }, - "$abc$14230$n910": { - "hide_name": 1, - "bits": [ 122 ], - "attributes": { - } - }, - "$abc$14230$n912": { - "hide_name": 1, - "bits": [ 147 ], - "attributes": { - } - }, - "$abc$14230$n914": { - "hide_name": 1, - "bits": [ 152 ], - "attributes": { - } - }, - "$abc$14230$n916": { - "hide_name": 1, - "bits": [ 154 ], - "attributes": { - } - }, - "$abc$14230$n924": { - "hide_name": 1, - "bits": [ 347 ], - "attributes": { - } - }, - "$abc$14230$n927": { - "hide_name": 1, - "bits": [ 354 ], - "attributes": { - } - }, - "$abc$14230$n930": { + "$abc$14149$n1706": { "hide_name": 1, "bits": [ 361 ], "attributes": { } }, - "$abc$14230$n933": { + "$abc$14149$n1707": { "hide_name": 1, - "bits": [ 349 ], + "bits": [ 370 ], "attributes": { } }, - "$abc$14230$n935": { + "$abc$14149$n1708": { "hide_name": 1, - "bits": [ 356 ], + "bits": [ 379 ], "attributes": { } }, - "$abc$14230$n937": { + "$abc$14149$n1709": { "hide_name": 1, - "bits": [ 363 ], + "bits": [ 388 ], "attributes": { } }, - "$abc$14230$n939": { + "$abc$14149$n1710": { "hide_name": 1, - "bits": [ 374 ], + "bits": [ 397 ], "attributes": { } }, - "$abc$14230$n942": { + "$abc$14149$n1711": { "hide_name": 1, - "bits": [ 795 ], + "bits": [ 407 ], "attributes": { } }, - "$abc$14230$n945": { + "$abc$14149$n1712": { "hide_name": 1, - "bits": [ 383 ], + "bits": [ 653 ], "attributes": { } }, - "$abc$14230$n948": { + "$abc$14149$n1713": { "hide_name": 1, - "bits": [ 802 ], + "bits": [ 661 ], "attributes": { } }, - "$abc$14230$n951": { + "$abc$14149$n1749": { "hide_name": 1, - "bits": [ 392 ], + "bits": [ 122 ], "attributes": { } }, - "$abc$14230$n954": { + "$abc$14149$n1750": { "hide_name": 1, - "bits": [ 809 ], + "bits": [ 119 ], "attributes": { } }, - "$abc$14230$n957": { + "$abc$14149$n1751": { "hide_name": 1, - "bits": [ 400 ], + "bits": [ 116 ], "attributes": { } }, - "$abc$14230$n960": { + "$abc$14149$n1752": { "hide_name": 1, - "bits": [ 317 ], + "bits": [ 113 ], "attributes": { } }, - "$abc$14230$n963": { + "$abc$14149$n1753": { "hide_name": 1, - "bits": [ 769 ], + "bits": [ 110 ], "attributes": { } }, - "$abc$14230$n966": { + "$abc$14149$n1754": { "hide_name": 1, - "bits": [ 325 ], + "bits": [ 107 ], "attributes": { } }, - "$abc$14230$n969": { + "$abc$14149$n1755": { "hide_name": 1, - "bits": [ 334 ], + "bits": [ 104 ], "attributes": { } }, - "$abc$14230$n972": { + "$abc$14149$n1756": { "hide_name": 1, - "bits": [ 484 ], + "bits": [ 101 ], "attributes": { } }, - "$abc$14230$n975": { + "$abc$14149$n1757": { "hide_name": 1, - "bits": [ 483 ], + "bits": [ 98 ], "attributes": { } }, - "$abc$14230$n978": { + "$abc$14149$n1758": { "hide_name": 1, - "bits": [ 818 ], + "bits": [ 95 ], "attributes": { } }, - "$abc$14230$n981": { + "$abc$14149$n1759": { "hide_name": 1, - "bits": [ 823 ], + "bits": [ 92 ], "attributes": { } }, - "$abc$14230$n984": { + "$abc$14149$n1760": { "hide_name": 1, - "bits": [ 341 ], + "bits": [ 89 ], "attributes": { } }, - "$abc$14230$n988": { + "$abc$14149$n1761": { + "hide_name": 1, + "bits": [ 86 ], + "attributes": { + } + }, + "$abc$14149$n1762": { + "hide_name": 1, + "bits": [ 83 ], + "attributes": { + } + }, + "$abc$14149$n1763": { + "hide_name": 1, + "bits": [ 80 ], + "attributes": { + } + }, + "$abc$14149$n1764": { + "hide_name": 1, + "bits": [ 72 ], + "attributes": { + } + }, + "$abc$14149$n1765": { + "hide_name": 1, + "bits": [ 646 ], + "attributes": { + } + }, + "$abc$14149$n1826": { + "hide_name": 1, + "bits": [ 685 ], + "attributes": { + } + }, + "$abc$14149$n1835": { + "hide_name": 1, + "bits": [ 686 ], + "attributes": { + } + }, + "$abc$14149$n1837": { + "hide_name": 1, + "bits": [ 687 ], + "attributes": { + } + }, + "$abc$14149$n1839": { + "hide_name": 1, + "bits": [ 688 ], + "attributes": { + } + }, + "$abc$14149$n1841": { + "hide_name": 1, + "bits": [ 689 ], + "attributes": { + } + }, + "$abc$14149$n1843": { + "hide_name": 1, + "bits": [ 690 ], + "attributes": { + } + }, + "$abc$14149$n1845": { + "hide_name": 1, + "bits": [ 691 ], + "attributes": { + } + }, + "$abc$14149$n1847": { + "hide_name": 1, + "bits": [ 692 ], + "attributes": { + } + }, + "$abc$14149$n1849": { + "hide_name": 1, + "bits": [ 693 ], + "attributes": { + } + }, + "$abc$14149$n1851": { + "hide_name": 1, + "bits": [ 694 ], + "attributes": { + } + }, + "$abc$14149$n1853": { + "hide_name": 1, + "bits": [ 695 ], + "attributes": { + } + }, + "$abc$14149$n1855": { + "hide_name": 1, + "bits": [ 696 ], + "attributes": { + } + }, + "$abc$14149$n1857": { + "hide_name": 1, + "bits": [ 697 ], + "attributes": { + } + }, + "$abc$14149$n1859": { + "hide_name": 1, + "bits": [ 698 ], + "attributes": { + } + }, + "$abc$14149$n1861": { + "hide_name": 1, + "bits": [ 699 ], + "attributes": { + } + }, + "$abc$14149$n1863": { + "hide_name": 1, + "bits": [ 700 ], + "attributes": { + } + }, + "$abc$14149$n1865": { + "hide_name": 1, + "bits": [ 701 ], + "attributes": { + } + }, + "$abc$14149$n20": { + "hide_name": 1, + "bits": [ 704 ], + "attributes": { + } + }, + "$abc$14149$n23": { + "hide_name": 1, + "bits": [ 57 ], + "attributes": { + } + }, + "$abc$14149$n31": { + "hide_name": 1, + "bits": [ 76 ], + "attributes": { + } + }, + "$abc$14149$n34": { + "hide_name": 1, + "bits": [ 82 ], + "attributes": { + } + }, + "$abc$14149$n37": { + "hide_name": 1, + "bits": [ 85 ], + "attributes": { + } + }, + "$abc$14149$n40": { + "hide_name": 1, + "bits": [ 88 ], + "attributes": { + } + }, + "$abc$14149$n43": { + "hide_name": 1, + "bits": [ 91 ], + "attributes": { + } + }, + "$abc$14149$n441": { + "hide_name": 1, + "bits": [ 129 ], + "attributes": { + } + }, + "$abc$14149$n46": { + "hide_name": 1, + "bits": [ 94 ], + "attributes": { + } + }, + "$abc$14149$n471": { + "hide_name": 1, + "bits": [ 709 ], + "attributes": { + } + }, + "$abc$14149$n478": { + "hide_name": 1, + "bits": [ 710 ], + "attributes": { + } + }, + "$abc$14149$n480": { + "hide_name": 1, + "bits": [ 711 ], + "attributes": { + } + }, + "$abc$14149$n49": { + "hide_name": 1, + "bits": [ 97 ], + "attributes": { + } + }, + "$abc$14149$n493": { + "hide_name": 1, + "bits": [ 712 ], + "attributes": { + } + }, + "$abc$14149$n503": { + "hide_name": 1, + "bits": [ 713 ], + "attributes": { + } + }, + "$abc$14149$n508_1": { + "hide_name": 1, + "bits": [ 20 ], + "attributes": { + } + }, + "$abc$14149$n509": { + "hide_name": 1, + "bits": [ 22 ], + "attributes": { + } + }, + "$abc$14149$n510": { + "hide_name": 1, + "bits": [ 714 ], + "attributes": { + } + }, + "$abc$14149$n510_1": { + "hide_name": 1, + "bits": [ 23 ], + "attributes": { + } + }, + "$abc$14149$n511": { + "hide_name": 1, + "bits": [ 18 ], + "attributes": { + } + }, + "$abc$14149$n512": { + "hide_name": 1, + "bits": [ 38 ], + "attributes": { + } + }, + "$abc$14149$n513_1": { + "hide_name": 1, + "bits": [ 39 ], + "attributes": { + } + }, + "$abc$14149$n514": { + "hide_name": 1, + "bits": [ 40 ], + "attributes": { + } + }, + "$abc$14149$n516_1": { + "hide_name": 1, + "bits": [ 42 ], + "attributes": { + } + }, + "$abc$14149$n517": { + "hide_name": 1, + "bits": [ 44 ], + "attributes": { + } + }, + "$abc$14149$n519": { + "hide_name": 1, + "bits": [ 195 ], + "attributes": { + } + }, + "$abc$14149$n519_1": { + "hide_name": 1, + "bits": [ 47 ], + "attributes": { + } + }, + "$abc$14149$n52": { + "hide_name": 1, + "bits": [ 100 ], + "attributes": { + } + }, + "$abc$14149$n520": { + "hide_name": 1, + "bits": [ 51 ], + "attributes": { + } + }, + "$abc$14149$n521": { + "hide_name": 1, + "bits": [ 52 ], + "attributes": { + } + }, + "$abc$14149$n522": { + "hide_name": 1, + "bits": [ 198 ], + "attributes": { + } + }, + "$abc$14149$n522_1": { + "hide_name": 1, + "bits": [ 48 ], + "attributes": { + } + }, + "$abc$14149$n523": { + "hide_name": 1, + "bits": [ 53 ], + "attributes": { + } + }, + "$abc$14149$n524": { + "hide_name": 1, + "bits": [ 55 ], + "attributes": { + } + }, + "$abc$14149$n525": { + "hide_name": 1, + "bits": [ 54 ], + "attributes": { + } + }, + "$abc$14149$n526": { + "hide_name": 1, + "bits": [ 49 ], + "attributes": { + } + }, + "$abc$14149$n528_1": { + "hide_name": 1, + "bits": [ 56 ], + "attributes": { + } + }, + "$abc$14149$n529": { + "hide_name": 1, + "bits": [ 58 ], + "attributes": { + } + }, + "$abc$14149$n530": { + "hide_name": 1, + "bits": [ 59 ], + "attributes": { + } + }, + "$abc$14149$n532": { + "hide_name": 1, + "bits": [ 62 ], + "attributes": { + } + }, + "$abc$14149$n533_1": { + "hide_name": 1, + "bits": [ 65 ], + "attributes": { + } + }, + "$abc$14149$n539": { + "hide_name": 1, + "bits": [ 715 ], + "attributes": { + } + }, + "$abc$14149$n541": { + "hide_name": 1, + "bits": [ 716 ], + "attributes": { + } + }, + "$abc$14149$n548": { + "hide_name": 1, + "bits": [ 717 ], + "attributes": { + } + }, + "$abc$14149$n55": { + "hide_name": 1, + "bits": [ 103 ], + "attributes": { + } + }, + "$abc$14149$n550": { + "hide_name": 1, + "bits": [ 718 ], + "attributes": { + } + }, + "$abc$14149$n553": { + "hide_name": 1, + "bits": [ 127 ], + "attributes": { + } + }, + "$abc$14149$n554": { + "hide_name": 1, + "bits": [ 128 ], + "attributes": { + } + }, + "$abc$14149$n556_1": { + "hide_name": 1, + "bits": [ 136 ], + "attributes": { + } + }, + "$abc$14149$n557": { + "hide_name": 1, + "bits": [ 139 ], + "attributes": { + } + }, + "$abc$14149$n558": { + "hide_name": 1, + "bits": [ 719 ], + "attributes": { + } + }, + "$abc$14149$n558_1": { + "hide_name": 1, + "bits": [ 144 ], + "attributes": { + } + }, + "$abc$14149$n566_1": { + "hide_name": 1, + "bits": [ 160 ], + "attributes": { + } + }, + "$abc$14149$n567_1": { + "hide_name": 1, + "bits": [ 163 ], + "attributes": { + } + }, + "$abc$14149$n569": { + "hide_name": 1, + "bits": [ 165 ], + "attributes": { + } + }, + "$abc$14149$n571_1": { + "hide_name": 1, + "bits": [ 169 ], + "attributes": { + } + }, + "$abc$14149$n573": { + "hide_name": 1, + "bits": [ 175 ], + "attributes": { + } + }, + "$abc$14149$n575": { + "hide_name": 1, + "bits": [ 179 ], + "attributes": { + } + }, + "$abc$14149$n577": { + "hide_name": 1, + "bits": [ 183 ], + "attributes": { + } + }, + "$abc$14149$n578": { + "hide_name": 1, + "bits": [ 186 ], + "attributes": { + } + }, + "$abc$14149$n58": { + "hide_name": 1, + "bits": [ 106 ], + "attributes": { + } + }, + "$abc$14149$n581": { + "hide_name": 1, + "bits": [ 190 ], + "attributes": { + } + }, + "$abc$14149$n582": { + "hide_name": 1, + "bits": [ 193 ], + "attributes": { + } + }, + "$abc$14149$n584": { + "hide_name": 1, + "bits": [ 194 ], + "attributes": { + } + }, + "$abc$14149$n586_1": { + "hide_name": 1, + "bits": [ 197 ], + "attributes": { + } + }, + "$abc$14149$n587_1": { + "hide_name": 1, + "bits": [ 199 ], + "attributes": { + } + }, + "$abc$14149$n588": { + "hide_name": 1, + "bits": [ 196 ], + "attributes": { + } + }, + "$abc$14149$n589_1": { + "hide_name": 1, + "bits": [ 200 ], + "attributes": { + } + }, + "$abc$14149$n591": { + "hide_name": 1, + "bits": [ 201 ], + "attributes": { + } + }, + "$abc$14149$n592": { + "hide_name": 1, + "bits": [ 244 ], + "attributes": { + } + }, + "$abc$14149$n592_1": { + "hide_name": 1, + "bits": [ 206 ], + "attributes": { + } + }, + "$abc$14149$n594_1": { + "hide_name": 1, + "bits": [ 208 ], + "attributes": { + } + }, + "$abc$14149$n596": { + "hide_name": 1, + "bits": [ 214 ], + "attributes": { + } + }, + "$abc$14149$n598": { + "hide_name": 1, + "bits": [ 218 ], + "attributes": { + } + }, + "$abc$14149$n600": { + "hide_name": 1, + "bits": [ 247 ], + "attributes": { + } + }, + "$abc$14149$n600_1": { + "hide_name": 1, + "bits": [ 224 ], + "attributes": { + } + }, + "$abc$14149$n602": { + "hide_name": 1, + "bits": [ 228 ], + "attributes": { + } + }, + "$abc$14149$n603": { + "hide_name": 1, + "bits": [ 248 ], + "attributes": { + } + }, + "$abc$14149$n604": { + "hide_name": 1, + "bits": [ 249 ], + "attributes": { + } + }, + "$abc$14149$n61": { + "hide_name": 1, + "bits": [ 109 ], + "attributes": { + } + }, + "$abc$14149$n611": { + "hide_name": 1, + "bits": [ 252 ], + "attributes": { + } + }, + "$abc$14149$n612": { + "hide_name": 1, + "bits": [ 253 ], + "attributes": { + } + }, + "$abc$14149$n614": { + "hide_name": 1, + "bits": [ 261 ], + "attributes": { + } + }, + "$abc$14149$n615": { + "hide_name": 1, + "bits": [ 262 ], + "attributes": { + } + }, + "$abc$14149$n617": { + "hide_name": 1, + "bits": [ 268 ], + "attributes": { + } + }, + "$abc$14149$n618": { + "hide_name": 1, + "bits": [ 269 ], + "attributes": { + } + }, + "$abc$14149$n620": { + "hide_name": 1, + "bits": [ 275 ], + "attributes": { + } + }, + "$abc$14149$n621": { + "hide_name": 1, + "bits": [ 276 ], + "attributes": { + } + }, + "$abc$14149$n624": { + "hide_name": 1, + "bits": [ 285 ], + "attributes": { + } + }, + "$abc$14149$n626": { + "hide_name": 1, + "bits": [ 291 ], + "attributes": { + } + }, + "$abc$14149$n627": { + "hide_name": 1, + "bits": [ 298 ], + "attributes": { + } + }, + "$abc$14149$n628": { + "hide_name": 1, + "bits": [ 292 ], + "attributes": { + } + }, + "$abc$14149$n629": { + "hide_name": 1, + "bits": [ 301 ], + "attributes": { + } + }, + "$abc$14149$n630": { + "hide_name": 1, + "bits": [ 293 ], + "attributes": { + } + }, + "$abc$14149$n631": { + "hide_name": 1, + "bits": [ 294 ], + "attributes": { + } + }, + "$abc$14149$n632": { + "hide_name": 1, + "bits": [ 315 ], + "attributes": { + } + }, + "$abc$14149$n633": { + "hide_name": 1, + "bits": [ 308 ], + "attributes": { + } + }, + "$abc$14149$n637": { + "hide_name": 1, + "bits": [ 329 ], + "attributes": { + } + }, + "$abc$14149$n639": { + "hide_name": 1, + "bits": [ 338 ], + "attributes": { + } + }, + "$abc$14149$n64": { + "hide_name": 1, + "bits": [ 112 ], + "attributes": { + } + }, + "$abc$14149$n640": { + "hide_name": 1, + "bits": [ 335 ], + "attributes": { + } + }, + "$abc$14149$n641": { + "hide_name": 1, + "bits": [ 257 ], + "attributes": { + } + }, + "$abc$14149$n642": { + "hide_name": 1, + "bits": [ 346 ], + "attributes": { + } + }, + "$abc$14149$n644_1": { + "hide_name": 1, + "bits": [ 355 ], + "attributes": { + } + }, + "$abc$14149$n645": { + "hide_name": 1, + "bits": [ 264 ], + "attributes": { + } + }, + "$abc$14149$n646": { + "hide_name": 1, + "bits": [ 364 ], + "attributes": { + } + }, + "$abc$14149$n648_1": { + "hide_name": 1, + "bits": [ 373 ], + "attributes": { + } + }, + "$abc$14149$n649": { + "hide_name": 1, + "bits": [ 271 ], + "attributes": { + } + }, + "$abc$14149$n650": { + "hide_name": 1, + "bits": [ 382 ], + "attributes": { + } + }, + "$abc$14149$n652_1": { + "hide_name": 1, + "bits": [ 391 ], + "attributes": { + } + }, + "$abc$14149$n653": { + "hide_name": 1, + "bits": [ 278 ], + "attributes": { + } + }, + "$abc$14149$n654": { + "hide_name": 1, + "bits": [ 401 ], + "attributes": { + } + }, + "$abc$14149$n656_1": { + "hide_name": 1, + "bits": [ 411 ], + "attributes": { + } + }, + "$abc$14149$n658": { + "hide_name": 1, + "bits": [ 418 ], + "attributes": { + } + }, + "$abc$14149$n660": { + "hide_name": 1, + "bits": [ 421 ], + "attributes": { + } + }, + "$abc$14149$n662": { + "hide_name": 1, + "bits": [ 424 ], + "attributes": { + } + }, + "$abc$14149$n664": { + "hide_name": 1, + "bits": [ 427 ], + "attributes": { + } + }, + "$abc$14149$n67": { + "hide_name": 1, + "bits": [ 115 ], + "attributes": { + } + }, + "$abc$14149$n670": { + "hide_name": 1, + "bits": [ 436 ], + "attributes": { + } + }, + "$abc$14149$n671": { + "hide_name": 1, + "bits": [ 433 ], + "attributes": { + } + }, + "$abc$14149$n674": { + "hide_name": 1, + "bits": [ 445 ], + "attributes": { + } + }, + "$abc$14149$n675": { + "hide_name": 1, + "bits": [ 255 ], + "attributes": { + } + }, + "$abc$14149$n70": { + "hide_name": 1, + "bits": [ 118 ], + "attributes": { + } + }, + "$abc$14149$n711": { + "hide_name": 1, + "bits": [ 281 ], + "attributes": { + } + }, + "$abc$14149$n711_1": { + "hide_name": 1, + "bits": [ 525 ], + "attributes": { + } + }, + "$abc$14149$n715": { + "hide_name": 1, + "bits": [ 533 ], + "attributes": { + } + }, + "$abc$14149$n716": { + "hide_name": 1, + "bits": [ 534 ], + "attributes": { + } + }, + "$abc$14149$n717": { + "hide_name": 1, + "bits": [ 536 ], + "attributes": { + } + }, + "$abc$14149$n718": { + "hide_name": 1, + "bits": [ 537 ], + "attributes": { + } + }, + "$abc$14149$n719": { + "hide_name": 1, + "bits": [ 256 ], + "attributes": { + } + }, + "$abc$14149$n720": { + "hide_name": 1, + "bits": [ 251 ], + "attributes": { + } + }, + "$abc$14149$n720_1": { + "hide_name": 1, + "bits": [ 538 ], + "attributes": { + } + }, + "$abc$14149$n721": { + "hide_name": 1, + "bits": [ 541 ], + "attributes": { + } + }, + "$abc$14149$n722": { + "hide_name": 1, + "bits": [ 545 ], + "attributes": { + } + }, + "$abc$14149$n723": { + "hide_name": 1, + "bits": [ 542 ], + "attributes": { + } + }, + "$abc$14149$n724": { + "hide_name": 1, + "bits": [ 546 ], + "attributes": { + } + }, + "$abc$14149$n725": { + "hide_name": 1, + "bits": [ 539 ], + "attributes": { + } + }, + "$abc$14149$n726": { + "hide_name": 1, + "bits": [ 555 ], + "attributes": { + } + }, + "$abc$14149$n727": { + "hide_name": 1, + "bits": [ 552 ], + "attributes": { + } + }, + "$abc$14149$n728": { + "hide_name": 1, + "bits": [ 562 ], + "attributes": { + } + }, + "$abc$14149$n729": { + "hide_name": 1, + "bits": [ 547 ], + "attributes": { + } + }, + "$abc$14149$n73": { + "hide_name": 1, + "bits": [ 121 ], + "attributes": { + } + }, + "$abc$14149$n730": { + "hide_name": 1, + "bits": [ 565 ], + "attributes": { + } + }, + "$abc$14149$n732": { + "hide_name": 1, + "bits": [ 568 ], + "attributes": { + } + }, + "$abc$14149$n733_1": { + "hide_name": 1, + "bits": [ 569 ], + "attributes": { + } + }, + "$abc$14149$n734": { + "hide_name": 1, + "bits": [ 567 ], + "attributes": { + } + }, + "$abc$14149$n738": { + "hide_name": 1, + "bits": [ 585 ], + "attributes": { + } + }, + "$abc$14149$n739": { + "hide_name": 1, + "bits": [ 579 ], + "attributes": { + } + }, + "$abc$14149$n740": { + "hide_name": 1, + "bits": [ 580 ], + "attributes": { + } + }, + "$abc$14149$n741": { + "hide_name": 1, + "bits": [ 587 ], + "attributes": { + } + }, + "$abc$14149$n742": { + "hide_name": 1, + "bits": [ 581 ], + "attributes": { + } + }, + "$abc$14149$n743": { + "hide_name": 1, + "bits": [ 588 ], + "attributes": { + } + }, + "$abc$14149$n744": { + "hide_name": 1, + "bits": [ 582 ], + "attributes": { + } + }, + "$abc$14149$n751": { + "hide_name": 1, + "bits": [ 607 ], + "attributes": { + } + }, + "$abc$14149$n752": { + "hide_name": 1, + "bits": [ 608 ], + "attributes": { + } + }, + "$abc$14149$n753": { + "hide_name": 1, + "bits": [ 609 ], + "attributes": { + } + }, + "$abc$14149$n754": { + "hide_name": 1, + "bits": [ 628 ], + "attributes": { + } + }, + "$abc$14149$n755_1": { + "hide_name": 1, + "bits": [ 610 ], + "attributes": { + } + }, + "$abc$14149$n756": { + "hide_name": 1, + "bits": [ 619 ], + "attributes": { + } + }, + "$abc$14149$n757": { + "hide_name": 1, + "bits": [ 626 ], + "attributes": { + } + }, + "$abc$14149$n76": { + "hide_name": 1, + "bits": [ 124 ], + "attributes": { + } + }, + "$abc$14149$n766": { + "hide_name": 1, + "bits": [ 322 ], + "attributes": { + } + }, + "$abc$14149$n766_1": { + "hide_name": 1, + "bits": [ 645 ], + "attributes": { + } + }, + "$abc$14149$n771": { + "hide_name": 1, + "bits": [ 656 ], + "attributes": { + } + }, + "$abc$14149$n773": { + "hide_name": 1, + "bits": [ 664 ], + "attributes": { + } + }, + "$abc$14149$n775": { + "hide_name": 1, + "bits": [ 670 ], + "attributes": { + } + }, + "$abc$14149$n776": { + "hide_name": 1, + "bits": [ 671 ], + "attributes": { + } + }, + "$abc$14149$n778": { + "hide_name": 1, + "bits": [ 678 ], + "attributes": { + } + }, + "$abc$14149$n779": { + "hide_name": 1, + "bits": [ 679 ], + "attributes": { + } + }, + "$abc$14149$n79": { + "hide_name": 1, + "bits": [ 75 ], + "attributes": { + } + }, + "$abc$14149$n833": { + "hide_name": 1, + "bits": [ 720 ], + "attributes": { + } + }, + "$abc$14149$n838": { + "hide_name": 1, + "bits": [ 721 ], + "attributes": { + } + }, + "$abc$14149$n845": { + "hide_name": 1, + "bits": [ 722 ], + "attributes": { + } + }, + "$abc$14149$n851": { + "hide_name": 1, + "bits": [ 723 ], + "attributes": { + } + }, + "$abc$14149$n893": { + "hide_name": 1, + "bits": [ 428 ], + "attributes": { + } + }, + "$abc$14149$n896": { + "hide_name": 1, + "bits": [ 429 ], + "attributes": { + } + }, + "$abc$14149$n899": { + "hide_name": 1, + "bits": [ 430 ], + "attributes": { + } + }, + "$abc$14149$n906": { "hide_name": 1, "bits": [ 431 ], "attributes": { } }, - "$add$saturn_core.v:369$419_Y": { + "$abc$14149$n929": { "hide_name": 1, - "bits": [ 918, 919, 921, 922 ], + "bits": [ 138 ], "attributes": { } }, - "$auto$alumacc.cc:474:replace_alu$7487.C": { + "$abc$14149$n931": { "hide_name": 1, - "bits": [ 977, 978, 896, 979 ], + "bits": [ 153 ], + "attributes": { + } + }, + "$abc$14149$n933": { + "hide_name": 1, + "bits": [ 155 ], + "attributes": { + } + }, + "$abc$14149$n935": { + "hide_name": 1, + "bits": [ 157 ], + "attributes": { + } + }, + "$abc$14149$n942": { + "hide_name": 1, + "bits": [ 681 ], + "attributes": { + } + }, + "$abc$14149$n945": { + "hide_name": 1, + "bits": [ 673 ], + "attributes": { + } + }, + "$abc$14149$n948": { + "hide_name": 1, + "bits": [ 571 ], + "attributes": { + } + }, + "$abc$14149$n951": { + "hide_name": 1, + "bits": [ 682 ], + "attributes": { + } + }, + "$abc$14149$n953": { + "hide_name": 1, + "bits": [ 674 ], + "attributes": { + } + }, + "$abc$14149$n955": { + "hide_name": 1, + "bits": [ 572 ], + "attributes": { + } + }, + "$abc$14149$n957": { + "hide_name": 1, + "bits": [ 288 ], + "attributes": { + } + }, + "$abc$14149$n960": { + "hide_name": 1, + "bits": [ 527 ], + "attributes": { + } + }, + "$abc$14149$n963": { + "hide_name": 1, + "bits": [ 332 ], + "attributes": { + } + }, + "$abc$14149$n966": { + "hide_name": 1, + "bits": [ 342 ], + "attributes": { + } + }, + "$abc$14149$n969": { + "hide_name": 1, + "bits": [ 440 ], + "attributes": { + } + }, + "$abc$14149$n972": { + "hide_name": 1, + "bits": [ 448 ], + "attributes": { + } + }, + "$abc$14149$n975": { + "hide_name": 1, + "bits": [ 349 ], + "attributes": { + } + }, + "$abc$14149$n978": { + "hide_name": 1, + "bits": [ 358 ], + "attributes": { + } + }, + "$abc$14149$n981": { + "hide_name": 1, + "bits": [ 367 ], + "attributes": { + } + }, + "$abc$14149$n984": { + "hide_name": 1, + "bits": [ 376 ], + "attributes": { + } + }, + "$abc$14149$n987": { + "hide_name": 1, + "bits": [ 385 ], + "attributes": { + } + }, + "$abc$14149$n990": { + "hide_name": 1, + "bits": [ 394 ], + "attributes": { + } + }, + "$abc$14149$n993": { + "hide_name": 1, + "bits": [ 404 ], + "attributes": { + } + }, + "$abc$14149$n996": { + "hide_name": 1, + "bits": [ 414 ], + "attributes": { + } + }, + "$abc$14149$n999": { + "hide_name": 1, + "bits": [ 658 ], + "attributes": { + } + }, + "$add$saturn_core.v:366$419_Y": { + "hide_name": 1, + "bits": [ 746, 747, 749, 750 ], + "attributes": { + } + }, + "$auto$alumacc.cc:474:replace_alu$7474.C": { + "hide_name": 1, + "bits": [ 806, 807, 724, 808 ], "attributes": { "src": "opcodes/3n[x...]_LC.v:25|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$7487.FCO": { + "$auto$alumacc.cc:474:replace_alu$7474.FCO": { "hide_name": 1, - "bits": [ 980, 981, 897, 982 ], + "bits": [ 809, 810, 725, 811 ], "attributes": { "src": "opcodes/3n[x...]_LC.v:25|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$7490.C": { + "$auto$alumacc.cc:474:replace_alu$7477.C": { "hide_name": 1, - "bits": [ 983, 984, 898, 985, 903, 986, 904, 987, 905, 988, 899, 989, 900, 990, 901, 991, 902, 992, 993, 994 ], + "bits": [ 812, 813, 726, 814, 731, 815, 732, 816, 733, 817, 727, 818, 728, 819, 729, 820, 730, 821, 822, 823 ], "attributes": { "src": "opcodes/6xxx_GOTO.v:28|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$7493.C": { + "$auto$alumacc.cc:474:replace_alu$7480.C": { "hide_name": 1, - "bits": [ 995, 996, 906, 997 ], + "bits": [ 824, 825, 734, 826 ], "attributes": { "src": "opcodes/8[DF]xxxxx_GO.v:15|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$7493.FCO": { + "$auto$alumacc.cc:474:replace_alu$7480.FCO": { "hide_name": 1, - "bits": [ 998, 999, 907, 1000 ], + "bits": [ 827, 828, 735, 829 ], "attributes": { "src": "opcodes/8[DF]xxxxx_GO.v:15|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$7493.Y1": { + "$auto$alumacc.cc:474:replace_alu$7480.Y1": { "hide_name": 1, - "bits": [ 1001, 1002, 908, 1003 ], + "bits": [ 830, 831, 736, 832 ], "attributes": { "src": "opcodes/8[DF]xxxxx_GO.v:15|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$7496.C": { + "$auto$alumacc.cc:474:replace_alu$7483.C": { "hide_name": 1, - "bits": [ 1004, 1005, 909, 1006, 914, 1007, 915, 1008, 916, 1009, 910, 1010, 911, 1011, 912, 1012, 913, 1013, 1014, 1015 ], + "bits": [ 833, 834, 737, 835, 742, 836, 743, 837, 744, 838, 738, 839, 739, 840, 740, 841, 741, 842, 843, 844 ], "attributes": { "src": "opcodes/8[DF]xxxxx_GO.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$7499.C": { + "$auto$alumacc.cc:474:replace_alu$7486.C": { "hide_name": 1, - "bits": [ 1016, 1017, 917, 1018 ], + "bits": [ 845, 846, 745, 847 ], "attributes": { - "src": "saturn_core.v:369|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + "src": "saturn_core.v:366|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$7499.FCO": { + "$auto$alumacc.cc:474:replace_alu$7486.FCO": { "hide_name": 1, - "bits": [ 1019, 1020, 920, 1021 ], + "bits": [ 848, 849, 748, 850 ], "attributes": { - "src": "saturn_core.v:369|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "src": "saturn_core.v:366|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$7502.C": { + "$auto$alumacc.cc:474:replace_alu$7489.C": { "hide_name": 1, - "bits": [ 1022, 1023, 923, 1024 ], + "bits": [ 851, 852, 751, 853 ], "attributes": { "src": "opcodes/03_RTNCC.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, - "$auto$alumacc.cc:474:replace_alu$7502.FCO": { + "$auto$alumacc.cc:474:replace_alu$7489.FCO": { "hide_name": 1, - "bits": [ 1025, 1026, 924, 1027 ], + "bits": [ 854, 855, 752, 856 ], "attributes": { "src": "opcodes/03_RTNCC.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$7502.Y1": { + "$auto$alumacc.cc:474:replace_alu$7489.Y1": { "hide_name": 1, - "bits": [ 1028, 1029, 925, 1030 ], + "bits": [ 857, 858, 753, 859 ], "attributes": { "src": "opcodes/03_RTNCC.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", "unused_bits": "0 1 2 3" } }, - "$auto$alumacc.cc:474:replace_alu$7505.C": { + "$auto$alumacc.cc:474:replace_alu$7492.C": { "hide_name": 1, - "bits": [ 1031, 1032, 928, 1033, 939, 1034, 942, 1035, 945, 1036, 931, 1037, 932, 1038, 935, 1039, 936, 1040, 1041, 1042 ], + "bits": [ 860, 861, 756, 862, 767, 863, 770, 864, 773, 865, 759, 866, 760, 867, 763, 868, 764, 869, 870, 871 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:49|hp48_rom.v:58|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" } }, "PC": { "hide_name": 0, - "bits": [ 216, 174, 205, 419, 218, 180, 207, 222, 160, 176, 185, 190, 497, 474, 732, 195, 1043, 1044, 1045, 1046 ], + "bits": [ 222, 173, 212, 67, 223, 182, 213, 227, 159, 164, 174, 178, 417, 420, 423, 189, 872, 873, 874, 875 ], "attributes": { "init": 0, "src": "saturn_core.v:125" @@ -43624,14 +38382,14 @@ }, "bus_address": { "hide_name": 0, - "bits": [ 69, 66, 63, 60, 57, 54, 51, 48, 45, 42, 39, 36, 33, 30, 27, 19, 1047, 1048, 1049, 1050 ], + "bits": [ 123, 120, 117, 114, 111, 108, 105, 102, 99, 96, 93, 90, 87, 84, 81, 73, 876, 877, 878, 879 ], "attributes": { "src": "saturn_core.v:92" } }, "bus_command": { "hide_name": 0, - "bits": [ 23, 25, 20, 24 ], + "bits": [ 77, 79, 74, 78 ], "attributes": { "init": 0, "src": "saturn_core.v:93" @@ -43639,28 +38397,28 @@ }, "bus_ctrl.address": { "hide_name": 0, - "bits": [ 69, 66, 63, 60, 57, 54, 51, 48, 45, 42, 39, 36, 33, 30, 27, 19, 1047, 1048, 1049, 1050 ], + "bits": [ 123, 120, 117, 114, 111, 108, 105, 102, 99, 96, 93, 90, 87, 84, 81, 73, 876, 877, 878, 879 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:20" } }, "bus_ctrl.command": { "hide_name": 0, - "bits": [ 23, 25, 20, 24 ], + "bits": [ 77, 79, 74, 78 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:21" } }, "bus_ctrl.dev_io_ram.address": { "hide_name": 0, - "bits": [ 69, 66, 63, 60, 57, 54, 51, 48, 45, 42, 39, 36, 33, 30, 27, 19, 1047, 1048, 1049, 1050 ], + "bits": [ 123, 120, 117, 114, 111, 108, 105, 102, 99, 96, 93, 90, 87, 84, 81, 73, 876, 877, 878, 879 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:38|hp48_io_ram.v:18" } }, "bus_ctrl.dev_io_ram.command": { "hide_name": 0, - "bits": [ 23, 25, 20, 24 ], + "bits": [ 77, 79, 74, 78 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:38|hp48_io_ram.v:19" } @@ -43674,49 +38432,49 @@ }, "bus_ctrl.dev_io_ram.strobe": { "hide_name": 0, - "bits": [ 836 ], + "bits": [ 650 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:38|hp48_io_ram.v:16" } }, "bus_ctrl.dev_rom.address": { "hide_name": 0, - "bits": [ 69, 66, 63, 60, 57, 54, 51, 48, 45, 42, 39, 36, 33, 30, 27, 19, 1047, 1048, 1049, 1050 ], + "bits": [ 123, 120, 117, 114, 111, 108, 105, 102, 99, 96, 93, 90, 87, 84, 81, 73, 876, 877, 878, 879 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:49|hp48_rom.v:17" } }, "bus_ctrl.dev_rom.command": { "hide_name": 0, - "bits": [ 23, 25, 20, 24 ], + "bits": [ 77, 79, 74, 78 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:49|hp48_rom.v:18" } }, "bus_ctrl.dev_rom.nibble_out": { "hide_name": 0, - "bits": [ 252, 261, 268, 275 ], + "bits": [ 254, 263, 270, 277 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:49|hp48_rom.v:19" } }, "bus_ctrl.dev_rom.pc_ptr": { "hide_name": 0, - "bits": [ 926, 927, 937, 938, 940, 941, 943, 944, 946, 947, 929, 930, 933, 934, 231, 230, 1051, 1052, 1053, 1054 ], + "bits": [ 754, 755, 765, 766, 768, 769, 771, 772, 774, 775, 757, 758, 761, 762, 246, 245, 880, 881, 882, 883 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:49|hp48_rom.v:34" } }, "bus_ctrl.dev_rom.strobe": { "hide_name": 0, - "bits": [ 836 ], + "bits": [ 650 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:49|hp48_rom.v:16" } }, "bus_ctrl.nibble_out": { "hide_name": 0, - "bits": [ 252, 261, 268, 275 ], + "bits": [ 254, 263, 270, 277 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:23" } @@ -43730,28 +38488,28 @@ }, "bus_ctrl.rom_nibble_out": { "hide_name": 0, - "bits": [ 252, 261, 268, 275 ], + "bits": [ 254, 263, 270, 277 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:33" } }, "bus_ctrl.strobe": { "hide_name": 0, - "bits": [ 836 ], + "bits": [ 650 ], "attributes": { "src": "saturn_core.v:145|hp48_bus.v:18" } }, "bus_ctrl_clk": { "hide_name": 0, - "bits": [ 833 ], + "bits": [ 647 ], "attributes": { "src": "saturn_core.v:65" } }, "bus_load_pc": { "hide_name": 0, - "bits": [ 149 ], + "bits": [ 150 ], "attributes": { "init": 1, "src": "saturn_core.v:97" @@ -43759,14 +38517,14 @@ }, "bus_nibble_out": { "hide_name": 0, - "bits": [ 252, 261, 268, 275 ], + "bits": [ 254, 263, 270, 277 ], "attributes": { "src": "saturn_core.v:95" } }, "bus_strobe": { "hide_name": 0, - "bits": [ 836 ], + "bits": [ 650 ], "attributes": { "src": "saturn_core.v:71" } @@ -43780,7 +38538,7 @@ }, "clk2": { "hide_name": 0, - "bits": [ 834 ], + "bits": [ 648 ], "attributes": { "init": 0, "src": "saturn_core.v:35" @@ -43788,7 +38546,7 @@ }, "clk3": { "hide_name": 0, - "bits": [ 235 ], + "bits": [ 152 ], "attributes": { "init": 0, "src": "saturn_core.v:64" @@ -43803,14 +38561,14 @@ }, "dec_strobe": { "hide_name": 0, - "bits": [ 838 ], + "bits": [ 652 ], "attributes": { "src": "saturn_core.v:73" } }, "decstate": { "hide_name": 0, - "bits": [ 82, 87, 77, 78, 90, 79, 80, 81 ], + "bits": [ 29, 34, 24, 25, 37, 26, 27, 28 ], "attributes": { "init": 0, "src": "saturn_core.v:88" @@ -43818,7 +38576,7 @@ }, "en_bus_clk": { "hide_name": 0, - "bits": [ 835 ], + "bits": [ 649 ], "attributes": { "init": 0, "src": "saturn_core.v:70" @@ -43826,7 +38584,7 @@ }, "en_bus_load_pc": { "hide_name": 0, - "bits": [ 150 ], + "bits": [ 151 ], "attributes": { "init": 1, "src": "saturn_core.v:98" @@ -43834,14 +38592,14 @@ }, "en_dec_clk": { "hide_name": 0, - "bits": [ 837 ], + "bits": [ 651 ], "attributes": { "src": "saturn_core.v:72" } }, "execute_cycle": { "hide_name": 0, - "bits": [ 236 ], + "bits": [ 202 ], "attributes": { "init": 0, "src": "saturn_core.v:83" @@ -43849,7 +38607,7 @@ }, "hex_dec": { "hide_name": 0, - "bits": [ 736 ], + "bits": [ 776 ], "attributes": { "init": 0, "src": "saturn_core.v:111" @@ -43857,7 +38615,7 @@ }, "inc_pc": { "hide_name": 0, - "bits": [ 742 ], + "bits": [ 187 ], "attributes": { "init": 0, "src": "saturn_core.v:84" @@ -43865,14 +38623,14 @@ }, "jump_base": { "hide_name": 0, - "bits": [ 215, 172, 204, 418, 220, 182, 209, 224, 162, 178, 187, 192, 482, 476, 734, 197, 1055, 1056, 1057, 1058 ], + "bits": [ 217, 168, 207, 60, 226, 185, 216, 230, 162, 167, 177, 181, 398, 408, 426, 192, 884, 885, 886, 887 ], "attributes": { "src": "saturn_core.v:109" } }, "jump_offset": { "hide_name": 0, - "bits": [ 770, 296, 499, 693, 689, 691, 738, 740, 759, 761, 774, 780, 782, 784, 786, 788, 1059, 1060, 1061, 1062 ], + "bits": [ 236, 599, 603, 232, 702, 530, 575, 577, 593, 595, 597, 633, 635, 637, 639, 641, 888, 889, 890, 891 ], "attributes": { "src": "saturn_core.v:110" } @@ -43886,7 +38644,7 @@ }, "new_PC": { "hide_name": 0, - "bits": [ 373, 436, 382, 441, 391, 446, 399, 316, 453, 324, 333, 460, 463, 466, 469, 340, 1063, 1064, 1065, 1066 ], + "bits": [ 287, 454, 331, 341, 439, 447, 348, 357, 366, 375, 384, 393, 403, 413, 481, 484, 892, 893, 894, 895 ], "attributes": { "init": 0, "src": "saturn_core.v:105" @@ -43894,7 +38652,7 @@ }, "next_PC": { "hide_name": 0, - "bits": [ 433, 435, 438, 440, 443, 445, 448, 450, 452, 455, 457, 459, 462, 465, 468, 471, 1067, 1068, 1069, 1070 ], + "bits": [ 451, 453, 456, 458, 460, 462, 464, 466, 468, 470, 472, 474, 476, 478, 480, 483, 896, 897, 898, 899 ], "attributes": { "init": 0, "src": "saturn_core.v:106" @@ -43902,21 +38660,21 @@ }, "nibble": { "hide_name": 0, - "bits": [ 163, 166, 188, 193 ], + "bits": [ 125, 19, 41, 61 ], "attributes": { "src": "saturn_core.v:104" } }, "read_nibble": { "hide_name": 0, - "bits": [ 536 ], + "bits": [ 520 ], "attributes": { "src": "saturn_core.v:85" } }, "regdump": { "hide_name": 0, - "bits": [ 225, 226, 227, 228 ], + "bits": [ 240, 241, 242, 243 ], "attributes": { "src": "saturn_core.v:89" } @@ -43930,7 +38688,7 @@ }, "rstk_ptr": { "hide_name": 0, - "bits": [ 348, 355, 362 ], + "bits": [ 677, 669, 566 ], "attributes": { "init": 7, "src": "saturn_core.v:108" @@ -43938,14 +38696,14 @@ }, "t_cnt": { "hide_name": 0, - "bits": [ 140, 142, 144, 146 ], + "bits": [ 140, 141, 145, 146 ], "attributes": { "src": "saturn_core.v:117" } }, "t_ctr": { "hide_name": 0, - "bits": [ 123, 141, 143, 145 ], + "bits": [ 70, 71, 68, 69 ], "attributes": { "src": "saturn_core.v:118" } diff --git a/saturn_core.v b/saturn_core.v index 458ae2e..9266e69 100644 --- a/saturn_core.v +++ b/saturn_core.v @@ -75,6 +75,7 @@ wire dec_strobe; // state machine stuff wire halt; reg [31:0] cycle_ctr; +reg [31:0] instr_ctr; reg decode_error; reg debug_stop; reg [3:0] busstate; @@ -160,6 +161,7 @@ initial en_bus_clk = 0; $display("initialize cycle counter"); cycle_ctr = -1; + instr_ctr = 0; $display("initializing bus_command"); bus_command = `BUSCMD_NOP; $display("initializing busstate"); @@ -197,16 +199,16 @@ initial //-------------------------------------------------------------------------------------------------- always @(posedge clk) - if (~reset) clk2 <= ~clk2; + if (!reset) clk2 <= !clk2; always @(negedge clk) - clk3 <= ~clk3 | reset; + clk3 <= !clk3 | reset; -assign bus_ctrl_clk = clk & ~reset; -assign ph0 = clk & clk3 & ~reset; -assign ph1 = ~clk & ~clk2 & ~reset; -assign ph2 = clk & ~clk3 & ~reset; -assign ph3 = ~clk & clk2 & ~reset; +assign bus_ctrl_clk = clk & !reset; +assign ph0 = clk & clk3 & !reset; +assign ph1 = !clk & !clk2 & !reset; +assign ph2 = clk & !clk3 & !reset; +assign ph3 = !clk & clk2 & !reset; assign bus_strobe = ph1 & en_bus_clk; assign dec_strobe = ph3 & en_dec_clk; @@ -221,7 +223,7 @@ assign dec_strobe = ph3 & en_dec_clk; always @(posedge bus_ctrl_clk) begin - if (~reset) begin + if (!reset) begin if (clk3) begin en_dec_clk <= 0; cycle_ctr <= cycle_ctr + 1; @@ -234,7 +236,7 @@ begin en_bus_load_pc <= 0; //$display(">>>> PC load newPC %5h", new_PC); end else begin - if (read_next_pc&~execute_cycle) begin + if (read_next_pc&!execute_cycle) begin //$display("sending BUSCMD_PC_READ"); bus_command <= `BUSCMD_PC_READ; read_nibble <= 1; @@ -250,7 +252,7 @@ begin end else begin if (bus_command == `BUSCMD_LOAD_PC) - $display("CYCLE %d -> BUSCMD_LOAD_PC %h", cycle_ctr, new_PC); + $display("CYCLE %d | INSTR %d -> BUSCMD_LOAD_PC %h", cycle_ctr, instr_ctr, new_PC); if (read_next_pc&read_nibble) begin nibble <= bus_nibble_out; en_dec_clk <= 1; @@ -309,9 +311,13 @@ always @(posedge dec_strobe) begin $display(" RSTK0: %5h", RSTK[0]); end `endif - $display("CYCLE %d | PC %h | DECSTATE %d | NIBBLE %h", cycle_ctr, PC, decstate, nibble); + $display("CYCLE %d | INSTR %d | PC %h | DECSTATE %d | NIBBLE %h", + cycle_ctr, + (decstate == `DEC_START)?instr_ctr+1:instr_ctr, + PC, decstate, nibble); case (decstate) `DEC_START: begin + instr_ctr <= instr_ctr + 1; inst_start_PC <= PC; case (nibble) 4'h0: decstate <= `DEC_0X;