diff --git a/README b/README new file mode 100644 index 0000000..dd31302 --- /dev/null +++ b/README @@ -0,0 +1,3 @@ +Verilog implementation of the HP saturn processor + +licence: GPLv3 or later \ No newline at end of file diff --git a/compile b/compile index 095cefe..930ac4a 100755 --- a/compile +++ b/compile @@ -1,5 +1,7 @@ #!/bin/bash -#yosys -p "synth_ecp5 -top mask_gen -json mask_gen.json" mask_gen.v -#nextpnr-ecp5 --gui --um-85k --speed 6 --freq 5 --json mask_gen.json --save mask_gen.ecp5 +# +# licence: GPLv3 or later +# + yosys -p "synth_ecp5 -top saturn_core -json saturn_core.json" saturn_core.v nextpnr-ecp5 --gui --85k --speed 6 --freq 5 --lpf ulx3s_v20.lpf --textcfg empty_lfe5u-85f.config --json saturn_core.json --save saturn_core.ecp5 diff --git a/gen_rom_hex.py b/gen_rom_hex.py index ec56211..ec7eee0 100755 --- a/gen_rom_hex.py +++ b/gen_rom_hex.py @@ -1,4 +1,7 @@ #!/usr/bin/env python3 +# +# licence: GPLv3 or later +# f = open("../docs/gxrom-r", "rb") count=0 diff --git a/mask_gen.json b/mask_gen.json deleted file mode 100644 index 02c5a57..0000000 --- a/mask_gen.json +++ /dev/null @@ -1,17634 +0,0 @@ -{ - "creator": "Yosys 0.8+147 (git sha1 266511b2, gcc 8.2.0-7ubuntu1 -fPIC -Os)", - "modules": { - "ALU54B": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:44" - }, - "ports": { - "CLK0": { - "direction": "input", - "bits": [ 2 ] - }, - "CLK1": { - "direction": "input", - "bits": [ 3 ] - }, - "CLK2": { - "direction": "input", - "bits": [ 4 ] - }, - "CLK3": { - "direction": "input", - "bits": [ 5 ] - }, - "CE0": { - "direction": "input", - "bits": [ 6 ] - }, - "CE1": { - "direction": "input", - "bits": [ 7 ] - }, - "CE2": { - "direction": "input", - "bits": [ 8 ] - }, - "CE3": { - "direction": "input", - "bits": [ 9 ] - }, - "RST0": { - "direction": "input", - "bits": [ 10 ] - }, - "RST1": { - "direction": "input", - "bits": [ 11 ] - }, - "RST2": { - "direction": "input", - "bits": [ 12 ] - }, - "RST3": { - "direction": "input", - "bits": [ 13 ] - }, - "SIGNEDIA": { - "direction": "input", - "bits": [ 14 ] - }, - "SIGNEDIB": { - "direction": "input", - "bits": [ 15 ] - }, - "SIGNEDCIN": { - "direction": "input", - "bits": [ 16 ] - }, - "A0": { - "direction": "input", - "bits": [ 17 ] - }, - "A1": { - "direction": "input", - "bits": [ 18 ] - }, - "A2": { - "direction": "input", - "bits": [ 19 ] - }, - "A3": { - "direction": "input", - "bits": [ 20 ] - }, - "A4": { - "direction": "input", - "bits": [ 21 ] - }, - "A5": { - "direction": "input", - "bits": [ 22 ] - }, - "A6": { - "direction": "input", - "bits": [ 23 ] - }, - "A7": { - "direction": "input", - "bits": [ 24 ] - }, - "A8": { - "direction": "input", - "bits": [ 25 ] - }, - "A9": { - "direction": "input", - "bits": [ 26 ] - }, - "A10": { - "direction": "input", - "bits": [ 27 ] - }, - "A11": { - "direction": "input", - "bits": [ 28 ] - }, - "A12": { - "direction": "input", - "bits": [ 29 ] - }, - "A13": { - "direction": "input", - "bits": [ 30 ] - }, - "A14": { - "direction": "input", - "bits": [ 31 ] - }, - "A15": { - "direction": "input", - "bits": [ 32 ] - }, - "A16": { - "direction": "input", - "bits": [ 33 ] - }, - "A17": { - "direction": "input", - "bits": [ 34 ] - }, - "A18": { - "direction": "input", - "bits": [ 35 ] - }, - "A19": { - "direction": "input", - "bits": [ 36 ] - }, - "A20": { - "direction": "input", - "bits": [ 37 ] - }, - "A21": { - "direction": "input", - "bits": [ 38 ] - }, - "A22": { - "direction": "input", - "bits": [ 39 ] - }, - "A23": { - "direction": "input", - "bits": [ 40 ] - }, - "A24": { - "direction": "input", - "bits": [ 41 ] - }, - "A25": { - "direction": "input", - "bits": [ 42 ] - }, - "A26": { - "direction": "input", - "bits": [ 43 ] - }, - "A27": { - "direction": "input", - "bits": [ 44 ] - }, - "A28": { - "direction": "input", - "bits": [ 45 ] - }, - "A29": { - "direction": "input", - "bits": [ 46 ] - }, - "A30": { - "direction": "input", - "bits": [ 47 ] - }, - "A31": { - "direction": "input", - "bits": [ 48 ] - }, - "A32": { - "direction": "input", - "bits": [ 49 ] - }, - "A33": { - "direction": "input", - "bits": [ 50 ] - }, - "A34": { - "direction": "input", - "bits": [ 51 ] - }, - "A35": { - "direction": "input", - "bits": [ 52 ] - }, - "B0": { - "direction": "input", - "bits": [ 53 ] - }, - "B1": { - "direction": "input", - "bits": [ 54 ] - }, - "B2": { - "direction": "input", - "bits": [ 55 ] - }, - "B3": { - "direction": "input", - "bits": [ 56 ] - }, - "B4": { - "direction": "input", - "bits": [ 57 ] - }, - "B5": { - "direction": "input", - "bits": [ 58 ] - }, - "B6": { - "direction": "input", - "bits": [ 59 ] - }, - "B7": { - "direction": "input", - "bits": [ 60 ] - }, - "B8": { - "direction": "input", - "bits": [ 61 ] - }, - "B9": { - "direction": "input", - "bits": [ 62 ] - }, - "B10": { - "direction": "input", - "bits": [ 63 ] - }, - "B11": { - "direction": "input", - "bits": [ 64 ] - }, - "B12": { - "direction": "input", - "bits": [ 65 ] - }, - "B13": { - "direction": "input", - "bits": [ 66 ] - }, - "B14": { - "direction": "input", - "bits": [ 67 ] - }, - "B15": { - "direction": "input", - "bits": [ 68 ] - }, - "B16": { - "direction": "input", - "bits": [ 69 ] - }, - "B17": { - "direction": "input", - "bits": [ 70 ] - }, - "B18": { - "direction": "input", - "bits": [ 71 ] - }, - "B19": { - "direction": "input", - "bits": [ 72 ] - }, - "B20": { - "direction": "input", - "bits": [ 73 ] - }, - "B21": { - "direction": "input", - "bits": [ 74 ] - }, - "B22": { - "direction": "input", - "bits": [ 75 ] - }, - "B23": { - "direction": "input", - "bits": [ 76 ] - }, - "B24": { - "direction": "input", - "bits": [ 77 ] - }, - "B25": { - "direction": "input", - "bits": [ 78 ] - }, - "B26": { - "direction": "input", - "bits": [ 79 ] - }, - "B27": { - "direction": "input", - "bits": [ 80 ] - }, - "B28": { - "direction": "input", - "bits": [ 81 ] - }, - "B29": { - "direction": "input", - "bits": [ 82 ] - }, - "B30": { - "direction": "input", - "bits": [ 83 ] - }, - "B31": { - "direction": "input", - "bits": [ 84 ] - }, - "B32": { - "direction": "input", - "bits": [ 85 ] - }, - "B33": { - "direction": "input", - "bits": [ 86 ] - }, - "B34": { - "direction": "input", - "bits": [ 87 ] - }, - "B35": { - "direction": "input", - "bits": [ 88 ] - }, - "C0": { - "direction": "input", - "bits": [ 89 ] - }, - "C1": { - "direction": "input", - "bits": [ 90 ] - }, - "C2": { - "direction": "input", - "bits": [ 91 ] - }, - "C3": { - "direction": "input", - "bits": [ 92 ] - }, - "C4": { - "direction": "input", - "bits": [ 93 ] - }, - "C5": { - "direction": "input", - "bits": [ 94 ] - }, - "C6": { - "direction": "input", - "bits": [ 95 ] - }, - "C7": { - "direction": "input", - "bits": [ 96 ] - }, - "C8": { - "direction": "input", - "bits": [ 97 ] - }, - "C9": { - "direction": "input", - "bits": [ 98 ] - }, - "C10": { - "direction": "input", - "bits": [ 99 ] - }, - "C11": { - "direction": "input", - "bits": [ 100 ] - }, - "C12": { - "direction": "input", - "bits": [ 101 ] - }, - "C13": { - "direction": "input", - "bits": [ 102 ] - }, - "C14": { - "direction": "input", - "bits": [ 103 ] - }, - "C15": { - "direction": "input", - "bits": [ 104 ] - }, - "C16": { - "direction": "input", - "bits": [ 105 ] - }, - "C17": { - "direction": "input", - "bits": [ 106 ] - }, - "C18": { - "direction": "input", - "bits": [ 107 ] - }, - "C19": { - "direction": "input", - "bits": [ 108 ] - }, - "C20": { - "direction": "input", - "bits": [ 109 ] - }, - "C21": { - "direction": "input", - "bits": [ 110 ] - }, - "C22": { - "direction": "input", - "bits": [ 111 ] - }, - "C23": { - "direction": "input", - "bits": [ 112 ] - }, - "C24": { - "direction": "input", - "bits": [ 113 ] - }, - "C25": { - "direction": "input", - "bits": [ 114 ] - }, - "C26": { - "direction": "input", - "bits": [ 115 ] - }, - "C27": { - "direction": "input", - "bits": [ 116 ] - }, - "C28": { - "direction": "input", - "bits": [ 117 ] - }, - "C29": { - "direction": "input", - "bits": [ 118 ] - }, - "C30": { - "direction": "input", - "bits": [ 119 ] - }, - "C31": { - "direction": "input", - "bits": [ 120 ] - }, - "C32": { - "direction": "input", - "bits": [ 121 ] - }, - "C33": { - "direction": "input", - "bits": [ 122 ] - }, - "C34": { - "direction": "input", - "bits": [ 123 ] - }, - "C35": { - "direction": "input", - "bits": [ 124 ] - }, - "C36": { - "direction": "input", - "bits": [ 125 ] - }, - "C37": { - "direction": "input", - "bits": [ 126 ] - }, - "C38": { - "direction": "input", - "bits": [ 127 ] - }, - "C39": { - "direction": "input", - "bits": [ 128 ] - }, - "C40": { - "direction": "input", - "bits": [ 129 ] - }, - "C41": { - "direction": "input", - "bits": [ 130 ] - }, - "C42": { - "direction": "input", - "bits": [ 131 ] - }, - "C43": { - "direction": "input", - "bits": [ 132 ] - }, - "C44": { - "direction": "input", - "bits": [ 133 ] - }, - "C45": { - "direction": "input", - "bits": [ 134 ] - }, - "C46": { - "direction": "input", - "bits": [ 135 ] - }, - "C47": { - "direction": "input", - "bits": [ 136 ] - }, - "C48": { - "direction": "input", - "bits": [ 137 ] - }, - "C49": { - "direction": "input", - "bits": [ 138 ] - }, - "C50": { - "direction": "input", - "bits": [ 139 ] - }, - "C51": { - "direction": "input", - "bits": [ 140 ] - }, - "C52": { - "direction": "input", - "bits": [ 141 ] - }, - "C53": { - "direction": "input", - "bits": [ 142 ] - }, - "CFB0": { - "direction": "input", - "bits": [ 143 ] - }, - "CFB1": { - "direction": "input", - "bits": [ 144 ] - }, - "CFB2": { - "direction": "input", - "bits": [ 145 ] - }, - "CFB3": { - "direction": "input", - "bits": [ 146 ] - }, - "CFB4": { - "direction": "input", - "bits": [ 147 ] - }, - "CFB5": { - "direction": "input", - "bits": [ 148 ] - }, - "CFB6": { - "direction": "input", - "bits": [ 149 ] - }, - "CFB7": { - "direction": "input", - "bits": [ 150 ] - }, - "CFB8": { - "direction": "input", - "bits": [ 151 ] - }, - "CFB9": { - "direction": "input", - "bits": [ 152 ] - }, - "CFB10": { - "direction": "input", - "bits": [ 153 ] - }, - "CFB11": { - "direction": "input", - "bits": [ 154 ] - }, - "CFB12": { - "direction": "input", - "bits": [ 155 ] - }, - "CFB13": { - "direction": "input", - "bits": [ 156 ] - }, - "CFB14": { - "direction": "input", - "bits": [ 157 ] - }, - "CFB15": { - "direction": "input", - "bits": [ 158 ] - }, - "CFB16": { - "direction": "input", - "bits": [ 159 ] - }, - "CFB17": { - "direction": "input", - "bits": [ 160 ] - }, - "CFB18": { - "direction": "input", - "bits": [ 161 ] - }, - "CFB19": { - "direction": "input", - "bits": [ 162 ] - }, - "CFB20": { - "direction": "input", - "bits": [ 163 ] - }, - "CFB21": { - "direction": "input", - "bits": [ 164 ] - }, - "CFB22": { - "direction": "input", - "bits": [ 165 ] - }, - "CFB23": { - "direction": "input", - "bits": [ 166 ] - }, - "CFB24": { - "direction": "input", - "bits": [ 167 ] - }, - "CFB25": { - "direction": "input", - "bits": [ 168 ] - }, - "CFB26": { - "direction": "input", - "bits": [ 169 ] - }, - "CFB27": { - "direction": "input", - "bits": [ 170 ] - }, - "CFB28": { - "direction": "input", - "bits": [ 171 ] - }, - "CFB29": { - "direction": "input", - "bits": [ 172 ] - }, - "CFB30": { - "direction": "input", - "bits": [ 173 ] - }, - "CFB31": { - "direction": "input", - "bits": [ 174 ] - }, - "CFB32": { - "direction": "input", - "bits": [ 175 ] - }, - "CFB33": { - "direction": "input", - "bits": [ 176 ] - }, - "CFB34": { - "direction": "input", - "bits": [ 177 ] - }, - "CFB35": { - "direction": "input", - "bits": [ 178 ] - }, - "CFB36": { - "direction": "input", - "bits": [ 179 ] - }, - "CFB37": { - "direction": "input", - "bits": [ 180 ] - }, - "CFB38": { - "direction": "input", - "bits": [ 181 ] - }, - "CFB39": { - "direction": "input", - "bits": [ 182 ] - }, - "CFB40": { - "direction": "input", - "bits": [ 183 ] - }, - "CFB41": { - "direction": "input", - "bits": [ 184 ] - }, - "CFB42": { - "direction": "input", - "bits": [ 185 ] - }, - "CFB43": { - "direction": "input", - "bits": [ 186 ] - }, - "CFB44": { - "direction": "input", - "bits": [ 187 ] - }, - "CFB45": { - "direction": "input", - "bits": [ 188 ] - }, - "CFB46": { - "direction": "input", - "bits": [ 189 ] - }, - "CFB47": { - "direction": "input", - "bits": [ 190 ] - }, - "CFB48": { - "direction": "input", - "bits": [ 191 ] - }, - "CFB49": { - "direction": "input", - "bits": [ 192 ] - }, - "CFB50": { - "direction": "input", - "bits": [ 193 ] - }, - "CFB51": { - "direction": "input", - "bits": [ 194 ] - }, - "CFB52": { - "direction": "input", - "bits": [ 195 ] - }, - "CFB53": { - "direction": "input", - "bits": [ 196 ] - }, - "MA0": { - "direction": "input", - "bits": [ 197 ] - }, - "MA1": { - "direction": "input", - "bits": [ 198 ] - }, - "MA2": { - "direction": "input", - "bits": [ 199 ] - }, - "MA3": { - "direction": "input", - "bits": [ 200 ] - }, - "MA4": { - "direction": "input", - "bits": [ 201 ] - }, - "MA5": { - "direction": "input", - "bits": [ 202 ] - }, - "MA6": { - "direction": "input", - "bits": [ 203 ] - }, - "MA7": { - "direction": "input", - "bits": [ 204 ] - }, - "MA8": { - "direction": "input", - "bits": [ 205 ] - }, - "MA9": { - "direction": "input", - "bits": [ 206 ] - }, - "MA10": { - "direction": "input", - "bits": [ 207 ] - }, - "MA11": { - "direction": "input", - "bits": [ 208 ] - }, - "MA12": { - "direction": "input", - "bits": [ 209 ] - }, - "MA13": { - "direction": "input", - "bits": [ 210 ] - }, - "MA14": { - "direction": "input", - "bits": [ 211 ] - }, - "MA15": { - "direction": "input", - "bits": [ 212 ] - }, - "MA16": { - "direction": "input", - "bits": [ 213 ] - }, - "MA17": { - "direction": "input", - "bits": [ 214 ] - }, - "MA18": { - "direction": "input", - "bits": [ 215 ] - }, - "MA19": { - "direction": "input", - "bits": [ 216 ] - }, - "MA20": { - "direction": "input", - "bits": [ 217 ] - }, - "MA21": { - "direction": "input", - "bits": [ 218 ] - }, - "MA22": { - "direction": "input", - "bits": [ 219 ] - }, - "MA23": { - "direction": "input", - "bits": [ 220 ] - }, - "MA24": { - "direction": "input", - "bits": [ 221 ] - }, - "MA25": { - "direction": "input", - "bits": [ 222 ] - }, - "MA26": { - "direction": "input", - "bits": [ 223 ] - }, - "MA27": { - "direction": "input", - "bits": [ 224 ] - }, - "MA28": { - "direction": "input", - "bits": [ 225 ] - }, - "MA29": { - "direction": "input", - "bits": [ 226 ] - }, - "MA30": { - "direction": "input", - "bits": [ 227 ] - }, - "MA31": { - "direction": "input", - "bits": [ 228 ] - }, - "MA32": { - "direction": "input", - "bits": [ 229 ] - }, - "MA33": { - "direction": "input", - "bits": [ 230 ] - }, - "MA34": { - "direction": "input", - "bits": [ 231 ] - }, - "MA35": { - "direction": "input", - "bits": [ 232 ] - }, - "MB0": { - "direction": "input", - "bits": [ 233 ] - }, - "MB1": { - "direction": "input", - "bits": [ 234 ] - }, - "MB2": { - "direction": "input", - "bits": [ 235 ] - }, - "MB3": { - "direction": "input", - "bits": [ 236 ] - }, - "MB4": { - "direction": "input", - "bits": [ 237 ] - }, - "MB5": { - "direction": "input", - "bits": [ 238 ] - }, - "MB6": { - "direction": "input", - "bits": [ 239 ] - }, - "MB7": { - "direction": "input", - "bits": [ 240 ] - }, - "MB8": { - "direction": "input", - "bits": [ 241 ] - }, - "MB9": { - "direction": "input", - "bits": [ 242 ] - }, - "MB10": { - "direction": "input", - "bits": [ 243 ] - }, - "MB11": { - "direction": "input", - "bits": [ 244 ] - }, - "MB12": { - "direction": "input", - "bits": [ 245 ] - }, - "MB13": { - "direction": "input", - "bits": [ 246 ] - }, - "MB14": { - "direction": "input", - "bits": [ 247 ] - }, - "MB15": { - "direction": "input", - "bits": [ 248 ] - }, - "MB16": { - "direction": "input", - "bits": [ 249 ] - }, - "MB17": { - "direction": "input", - "bits": [ 250 ] - }, - "MB18": { - "direction": "input", - "bits": [ 251 ] - }, - "MB19": { - "direction": "input", - "bits": [ 252 ] - }, - "MB20": { - "direction": "input", - "bits": [ 253 ] - }, - "MB21": { - "direction": "input", - "bits": [ 254 ] - }, - "MB22": { - "direction": "input", - "bits": [ 255 ] - }, - "MB23": { - "direction": "input", - "bits": [ 256 ] - }, - "MB24": { - "direction": "input", - "bits": [ 257 ] - }, - "MB25": { - "direction": "input", - "bits": [ 258 ] - }, - "MB26": { - "direction": "input", - "bits": [ 259 ] - }, - "MB27": { - "direction": "input", - "bits": [ 260 ] - }, - "MB28": { - "direction": "input", - "bits": [ 261 ] - }, - "MB29": { - "direction": "input", - "bits": [ 262 ] - }, - "MB30": { - "direction": "input", - "bits": [ 263 ] - }, - "MB31": { - "direction": "input", - "bits": [ 264 ] - }, - "MB32": { - "direction": "input", - "bits": [ 265 ] - }, - "MB33": { - "direction": "input", - "bits": [ 266 ] - }, - "MB34": { - "direction": "input", - "bits": [ 267 ] - }, - "MB35": { - "direction": "input", - "bits": [ 268 ] - }, - "CIN0": { - "direction": "input", - "bits": [ 269 ] - }, - "CIN1": { - "direction": "input", - "bits": [ 270 ] - }, - "CIN2": { - "direction": "input", - "bits": [ 271 ] - }, - "CIN3": { - "direction": "input", - "bits": [ 272 ] - }, - "CIN4": { - "direction": "input", - "bits": [ 273 ] - }, - "CIN5": { - "direction": "input", - "bits": [ 274 ] - }, - "CIN6": { - "direction": "input", - "bits": [ 275 ] - }, - "CIN7": { - "direction": "input", - "bits": [ 276 ] - }, - "CIN8": { - "direction": "input", - "bits": [ 277 ] - }, - "CIN9": { - "direction": "input", - "bits": [ 278 ] - }, - "CIN10": { - "direction": "input", - "bits": [ 279 ] - }, - "CIN11": { - "direction": "input", - "bits": [ 280 ] - }, - "CIN12": { - "direction": "input", - "bits": [ 281 ] - }, - "CIN13": { - "direction": "input", - "bits": [ 282 ] - }, - "CIN14": { - "direction": "input", - "bits": [ 283 ] - }, - "CIN15": { - "direction": "input", - "bits": [ 284 ] - }, - "CIN16": { - "direction": "input", - "bits": [ 285 ] - }, - "CIN17": { - "direction": "input", - "bits": [ 286 ] - }, - "CIN18": { - "direction": "input", - "bits": [ 287 ] - }, - "CIN19": { - "direction": "input", - "bits": [ 288 ] - }, - "CIN20": { - "direction": "input", - "bits": [ 289 ] - }, - "CIN21": { - "direction": "input", - "bits": [ 290 ] - }, - "CIN22": { - "direction": "input", - "bits": [ 291 ] - }, - "CIN23": { - "direction": "input", - "bits": [ 292 ] - }, - "CIN24": { - "direction": "input", - "bits": [ 293 ] - }, - "CIN25": { - "direction": "input", - "bits": [ 294 ] - }, - "CIN26": { - "direction": "input", - "bits": [ 295 ] - }, - "CIN27": { - "direction": "input", - "bits": [ 296 ] - }, - "CIN28": { - "direction": "input", - "bits": [ 297 ] - }, - "CIN29": { - "direction": "input", - "bits": [ 298 ] - }, - "CIN30": { - "direction": "input", - "bits": [ 299 ] - }, - "CIN31": { - "direction": "input", - "bits": [ 300 ] - }, - "CIN32": { - "direction": "input", - "bits": [ 301 ] - }, - "CIN33": { - "direction": "input", - "bits": [ 302 ] - }, - "CIN34": { - "direction": "input", - "bits": [ 303 ] - }, - "CIN35": { - "direction": "input", - "bits": [ 304 ] - }, - "CIN36": { - "direction": "input", - "bits": [ 305 ] - }, - "CIN37": { - "direction": "input", - "bits": [ 306 ] - }, - "CIN38": { - "direction": "input", - "bits": [ 307 ] - }, - "CIN39": { - "direction": "input", - "bits": [ 308 ] - }, - "CIN40": { - "direction": "input", - "bits": [ 309 ] - }, - "CIN41": { - "direction": "input", - "bits": [ 310 ] - }, - "CIN42": { - "direction": "input", - "bits": [ 311 ] - }, - "CIN43": { - "direction": "input", - "bits": [ 312 ] - }, - "CIN44": { - "direction": "input", - "bits": [ 313 ] - }, - "CIN45": { - "direction": "input", - "bits": [ 314 ] - }, - "CIN46": { - "direction": "input", - "bits": [ 315 ] - }, - "CIN47": { - "direction": "input", - "bits": [ 316 ] - }, - "CIN48": { - "direction": "input", - "bits": [ 317 ] - }, - "CIN49": { - "direction": "input", - "bits": [ 318 ] - }, - "CIN50": { - "direction": "input", - "bits": [ 319 ] - }, - "CIN51": { - "direction": "input", - "bits": [ 320 ] - }, - "CIN52": { - "direction": "input", - "bits": [ 321 ] - }, - "CIN53": { - "direction": "input", - "bits": [ 322 ] - }, - "OP0": { - "direction": "input", - "bits": [ 323 ] - }, - "OP1": { - "direction": "input", - "bits": [ 324 ] - }, - "OP2": { - "direction": "input", - "bits": [ 325 ] - }, - "OP3": { - "direction": "input", - "bits": [ 326 ] - }, - "OP4": { - "direction": "input", - "bits": [ 327 ] - }, - "OP5": { - "direction": "input", - "bits": [ 328 ] - }, - "OP6": { - "direction": "input", - "bits": [ 329 ] - }, - "OP7": { - "direction": "input", - "bits": [ 330 ] - }, - "OP8": { - "direction": "input", - "bits": [ 331 ] - }, - "OP9": { - "direction": "input", - "bits": [ 332 ] - }, - "OP10": { - "direction": "input", - "bits": [ 333 ] - }, - "R0": { - "direction": "output", - "bits": [ 334 ] - }, - "R1": { - "direction": "output", - "bits": [ 335 ] - }, - "R2": { - "direction": "output", - "bits": [ 336 ] - }, - "R3": { - "direction": "output", - "bits": [ 337 ] - }, - "R4": { - "direction": "output", - "bits": [ 338 ] - }, - "R5": { - "direction": "output", - "bits": [ 339 ] - }, - "R6": { - "direction": "output", - "bits": [ 340 ] - }, - "R7": { - "direction": "output", - "bits": [ 341 ] - }, - "R8": { - "direction": "output", - "bits": [ 342 ] - }, - "R9": { - "direction": "output", - "bits": [ 343 ] - }, - "R10": { - "direction": "output", - "bits": [ 344 ] - }, - "R11": { - "direction": "output", - "bits": [ 345 ] - }, - "R12": { - "direction": "output", - "bits": [ 346 ] - }, - "R13": { - "direction": "output", - "bits": [ 347 ] - }, - "R14": { - "direction": "output", - "bits": [ 348 ] - }, - "R15": { - "direction": "output", - "bits": [ 349 ] - }, - "R16": { - "direction": "output", - "bits": [ 350 ] - }, - "R17": { - "direction": "output", - "bits": [ 351 ] - }, - "R18": { - "direction": "output", - "bits": [ 352 ] - }, - "R19": { - "direction": "output", - "bits": [ 353 ] - }, - "R20": { - "direction": "output", - "bits": [ 354 ] - }, - "R21": { - "direction": "output", - "bits": [ 355 ] - }, - "R22": { - "direction": "output", - "bits": [ 356 ] - }, - "R23": { - "direction": "output", - "bits": [ 357 ] - }, - "R24": { - "direction": "output", - "bits": [ 358 ] - }, - "R25": { - "direction": "output", - "bits": [ 359 ] - }, - "R26": { - "direction": "output", - "bits": [ 360 ] - }, - "R27": { - "direction": "output", - "bits": [ 361 ] - }, - "R28": { - "direction": "output", - "bits": [ 362 ] - }, - "R29": { - "direction": "output", - "bits": [ 363 ] - }, - "R30": { - "direction": "output", - "bits": [ 364 ] - }, - "R31": { - "direction": "output", - "bits": [ 365 ] - }, - "R32": { - "direction": "output", - "bits": [ 366 ] - }, - "R33": { - "direction": "output", - "bits": [ 367 ] - }, - "R34": { - "direction": "output", - "bits": [ 368 ] - }, - "R35": { - "direction": "output", - "bits": [ 369 ] - }, - "R36": { - "direction": "output", - "bits": [ 370 ] - }, - "R37": { - "direction": "output", - "bits": [ 371 ] - }, - "R38": { - "direction": "output", - "bits": [ 372 ] - }, - "R39": { - "direction": "output", - "bits": [ 373 ] - }, - "R40": { - "direction": "output", - "bits": [ 374 ] - }, - "R41": { - "direction": "output", - "bits": [ 375 ] - }, - "R42": { - "direction": "output", - "bits": [ 376 ] - }, - "R43": { - "direction": "output", - "bits": [ 377 ] - }, - "R44": { - "direction": "output", - "bits": [ 378 ] - }, - "R45": { - "direction": "output", - "bits": [ 379 ] - }, - "R46": { - "direction": "output", - "bits": [ 380 ] - }, - "R47": { - "direction": "output", - "bits": [ 381 ] - }, - "R48": { - "direction": "output", - "bits": [ 382 ] - }, - "R49": { - "direction": "output", - "bits": [ 383 ] - }, - "R50": { - "direction": "output", - "bits": [ 384 ] - }, - "R51": { - "direction": "output", - "bits": [ 385 ] - }, - "R52": { - "direction": "output", - "bits": [ 386 ] - }, - "R53": { - "direction": "output", - "bits": [ 387 ] - }, - "CO0": { - "direction": "output", - "bits": [ 388 ] - }, - "CO1": { - "direction": "output", - "bits": [ 389 ] - }, - "CO2": { - "direction": "output", - "bits": [ 390 ] - }, - "CO3": { - "direction": "output", - "bits": [ 391 ] - }, - "CO4": { - "direction": "output", - "bits": [ 392 ] - }, - "CO5": { - "direction": "output", - "bits": [ 393 ] - }, - "CO6": { - "direction": "output", - "bits": [ 394 ] - }, - "CO7": { - "direction": "output", - "bits": [ 395 ] - }, - "CO8": { - "direction": "output", - "bits": [ 396 ] - }, - "CO9": { - "direction": "output", - "bits": [ 397 ] - }, - "CO10": { - "direction": "output", - "bits": [ 398 ] - }, - "CO11": { - "direction": "output", - "bits": [ 399 ] - }, - "CO12": { - "direction": "output", - "bits": [ 400 ] - }, - "CO13": { - "direction": "output", - "bits": [ 401 ] - }, - "CO14": { - "direction": "output", - "bits": [ 402 ] - }, - "CO15": { - "direction": "output", - "bits": [ 403 ] - }, - "CO16": { - "direction": "output", - "bits": [ 404 ] - }, - "CO17": { - "direction": "output", - "bits": [ 405 ] - }, - "CO18": { - "direction": "output", - "bits": [ 406 ] - }, - "CO19": { - "direction": "output", - "bits": [ 407 ] - }, - "CO20": { - "direction": "output", - "bits": [ 408 ] - }, - "CO21": { - "direction": "output", - "bits": [ 409 ] - }, - "CO22": { - "direction": "output", - "bits": [ 410 ] - }, - "CO23": { - "direction": "output", - "bits": [ 411 ] - }, - "CO24": { - "direction": "output", - "bits": [ 412 ] - }, - "CO25": { - "direction": "output", - "bits": [ 413 ] - }, - "CO26": { - "direction": "output", - "bits": [ 414 ] - }, - "CO27": { - "direction": "output", - "bits": [ 415 ] - }, - "CO28": { - "direction": "output", - "bits": [ 416 ] - }, - "CO29": { - "direction": "output", - "bits": [ 417 ] - }, - "CO30": { - "direction": "output", - "bits": [ 418 ] - }, - "CO31": { - "direction": "output", - "bits": [ 419 ] - }, - "CO32": { - "direction": "output", - "bits": [ 420 ] - }, - "CO33": { - "direction": "output", - "bits": [ 421 ] - }, - "CO34": { - "direction": "output", - "bits": [ 422 ] - }, - "CO35": { - "direction": "output", - "bits": [ 423 ] - }, - "CO36": { - "direction": "output", - "bits": [ 424 ] - }, - "CO37": { - "direction": "output", - "bits": [ 425 ] - }, - "CO38": { - "direction": "output", - "bits": [ 426 ] - }, - "CO39": { - "direction": "output", - "bits": [ 427 ] - }, - "CO40": { - "direction": "output", - "bits": [ 428 ] - }, - "CO41": { - "direction": "output", - "bits": [ 429 ] - }, - "CO42": { - "direction": "output", - "bits": [ 430 ] - }, - "CO43": { - "direction": "output", - "bits": [ 431 ] - }, - "CO44": { - "direction": "output", - "bits": [ 432 ] - }, - "CO45": { - "direction": "output", - "bits": [ 433 ] - }, - "CO46": { - "direction": "output", - "bits": [ 434 ] - }, - "CO47": { - "direction": "output", - "bits": [ 435 ] - }, - "CO48": { - "direction": "output", - "bits": [ 436 ] - }, - "CO49": { - "direction": "output", - "bits": [ 437 ] - }, - "CO50": { - "direction": "output", - "bits": [ 438 ] - }, - "CO51": { - "direction": "output", - "bits": [ 439 ] - }, - "CO52": { - "direction": "output", - "bits": [ 440 ] - }, - "CO53": { - "direction": "output", - "bits": [ 441 ] - }, - "EQZ": { - "direction": "output", - "bits": [ 442 ] - }, - "EQZM": { - "direction": "output", - "bits": [ 443 ] - }, - "EQOM": { - "direction": "output", - "bits": [ 444 ] - }, - "EQPAT": { - "direction": "output", - "bits": [ 445 ] - }, - "EQPATB": { - "direction": "output", - "bits": [ 446 ] - }, - "OVER": { - "direction": "output", - "bits": [ 447 ] - }, - "UNDER": { - "direction": "output", - "bits": [ 448 ] - }, - "OVERUNDER": { - "direction": "output", - "bits": [ 449 ] - }, - "SIGNEDR": { - "direction": "output", - "bits": [ 450 ] - } - }, - "cells": { - }, - "netnames": { - "A0": { - "hide_name": 0, - "bits": [ 17 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A1": { - "hide_name": 0, - "bits": [ 18 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A10": { - "hide_name": 0, - "bits": [ 27 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A11": { - "hide_name": 0, - "bits": [ 28 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A12": { - "hide_name": 0, - "bits": [ 29 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A13": { - "hide_name": 0, - "bits": [ 30 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A14": { - "hide_name": 0, - "bits": [ 31 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A15": { - "hide_name": 0, - "bits": [ 32 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A16": { - "hide_name": 0, - "bits": [ 33 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A17": { - "hide_name": 0, - "bits": [ 34 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A18": { - "hide_name": 0, - "bits": [ 35 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A19": { - "hide_name": 0, - "bits": [ 36 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A2": { - "hide_name": 0, - "bits": [ 19 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A20": { - "hide_name": 0, - "bits": [ 37 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A21": { - "hide_name": 0, - "bits": [ 38 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A22": { - "hide_name": 0, - "bits": [ 39 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A23": { - "hide_name": 0, - "bits": [ 40 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A24": { - "hide_name": 0, - "bits": [ 41 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A25": { - "hide_name": 0, - "bits": [ 42 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A26": { - "hide_name": 0, - "bits": [ 43 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A27": { - "hide_name": 0, - "bits": [ 44 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A28": { - "hide_name": 0, - "bits": [ 45 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A29": { - "hide_name": 0, - "bits": [ 46 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A3": { - "hide_name": 0, - "bits": [ 20 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A30": { - "hide_name": 0, - "bits": [ 47 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A31": { - "hide_name": 0, - "bits": [ 48 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A32": { - "hide_name": 0, - "bits": [ 49 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A33": { - "hide_name": 0, - "bits": [ 50 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A34": { - "hide_name": 0, - "bits": [ 51 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A35": { - "hide_name": 0, - "bits": [ 52 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A4": { - "hide_name": 0, - "bits": [ 21 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A5": { - "hide_name": 0, - "bits": [ 22 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A6": { - "hide_name": 0, - "bits": [ 23 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A7": { - "hide_name": 0, - "bits": [ 24 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A8": { - "hide_name": 0, - "bits": [ 25 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "A9": { - "hide_name": 0, - "bits": [ 26 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49" - } - }, - "B0": { - "hide_name": 0, - "bits": [ 53 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B1": { - "hide_name": 0, - "bits": [ 54 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B10": { - "hide_name": 0, - "bits": [ 63 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B11": { - "hide_name": 0, - "bits": [ 64 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B12": { - "hide_name": 0, - "bits": [ 65 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B13": { - "hide_name": 0, - "bits": [ 66 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B14": { - "hide_name": 0, - "bits": [ 67 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B15": { - "hide_name": 0, - "bits": [ 68 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B16": { - "hide_name": 0, - "bits": [ 69 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B17": { - "hide_name": 0, - "bits": [ 70 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B18": { - "hide_name": 0, - "bits": [ 71 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B19": { - "hide_name": 0, - "bits": [ 72 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B2": { - "hide_name": 0, - "bits": [ 55 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B20": { - "hide_name": 0, - "bits": [ 73 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B21": { - "hide_name": 0, - "bits": [ 74 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B22": { - "hide_name": 0, - "bits": [ 75 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B23": { - "hide_name": 0, - "bits": [ 76 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B24": { - "hide_name": 0, - "bits": [ 77 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B25": { - "hide_name": 0, - "bits": [ 78 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B26": { - "hide_name": 0, - "bits": [ 79 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B27": { - "hide_name": 0, - "bits": [ 80 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B28": { - "hide_name": 0, - "bits": [ 81 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B29": { - "hide_name": 0, - "bits": [ 82 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B3": { - "hide_name": 0, - "bits": [ 56 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B30": { - "hide_name": 0, - "bits": [ 83 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B31": { - "hide_name": 0, - "bits": [ 84 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B32": { - "hide_name": 0, - "bits": [ 85 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B33": { - "hide_name": 0, - "bits": [ 86 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B34": { - "hide_name": 0, - "bits": [ 87 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B35": { - "hide_name": 0, - "bits": [ 88 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B4": { - "hide_name": 0, - "bits": [ 57 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B5": { - "hide_name": 0, - "bits": [ 58 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B6": { - "hide_name": 0, - "bits": [ 59 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B7": { - "hide_name": 0, - "bits": [ 60 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B8": { - "hide_name": 0, - "bits": [ 61 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "B9": { - "hide_name": 0, - "bits": [ 62 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50" - } - }, - "C0": { - "hide_name": 0, - "bits": [ 89 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C1": { - "hide_name": 0, - "bits": [ 90 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C10": { - "hide_name": 0, - "bits": [ 99 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C11": { - "hide_name": 0, - "bits": [ 100 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C12": { - "hide_name": 0, - "bits": [ 101 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C13": { - "hide_name": 0, - "bits": [ 102 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C14": { - "hide_name": 0, - "bits": [ 103 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C15": { - "hide_name": 0, - "bits": [ 104 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C16": { - "hide_name": 0, - "bits": [ 105 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C17": { - "hide_name": 0, - "bits": [ 106 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C18": { - "hide_name": 0, - "bits": [ 107 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C19": { - "hide_name": 0, - "bits": [ 108 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C2": { - "hide_name": 0, - "bits": [ 91 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C20": { - "hide_name": 0, - "bits": [ 109 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C21": { - "hide_name": 0, - "bits": [ 110 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C22": { - "hide_name": 0, - "bits": [ 111 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C23": { - "hide_name": 0, - "bits": [ 112 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C24": { - "hide_name": 0, - "bits": [ 113 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C25": { - "hide_name": 0, - "bits": [ 114 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C26": { - "hide_name": 0, - "bits": [ 115 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C27": { - "hide_name": 0, - "bits": [ 116 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C28": { - "hide_name": 0, - "bits": [ 117 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C29": { - "hide_name": 0, - "bits": [ 118 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C3": { - "hide_name": 0, - "bits": [ 92 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C30": { - "hide_name": 0, - "bits": [ 119 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C31": { - "hide_name": 0, - "bits": [ 120 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C32": { - "hide_name": 0, - "bits": [ 121 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C33": { - "hide_name": 0, - "bits": [ 122 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C34": { - "hide_name": 0, - "bits": [ 123 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C35": { - "hide_name": 0, - "bits": [ 124 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C36": { - "hide_name": 0, - "bits": [ 125 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C37": { - "hide_name": 0, - "bits": [ 126 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C38": { - "hide_name": 0, - "bits": [ 127 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C39": { - "hide_name": 0, - "bits": [ 128 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C4": { - "hide_name": 0, - "bits": [ 93 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C40": { - "hide_name": 0, - "bits": [ 129 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C41": { - "hide_name": 0, - "bits": [ 130 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C42": { - "hide_name": 0, - "bits": [ 131 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C43": { - "hide_name": 0, - "bits": [ 132 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C44": { - "hide_name": 0, - "bits": [ 133 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C45": { - "hide_name": 0, - "bits": [ 134 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C46": { - "hide_name": 0, - "bits": [ 135 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C47": { - "hide_name": 0, - "bits": [ 136 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C48": { - "hide_name": 0, - "bits": [ 137 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C49": { - "hide_name": 0, - "bits": [ 138 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C5": { - "hide_name": 0, - "bits": [ 94 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C50": { - "hide_name": 0, - "bits": [ 139 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C51": { - "hide_name": 0, - "bits": [ 140 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C52": { - "hide_name": 0, - "bits": [ 141 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C53": { - "hide_name": 0, - "bits": [ 142 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C6": { - "hide_name": 0, - "bits": [ 95 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C7": { - "hide_name": 0, - "bits": [ 96 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C8": { - "hide_name": 0, - "bits": [ 97 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "C9": { - "hide_name": 0, - "bits": [ 98 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51" - } - }, - "CE0": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46" - } - }, - "CE1": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46" - } - }, - "CE2": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46" - } - }, - "CE3": { - "hide_name": 0, - "bits": [ 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46" - } - }, - "CFB0": { - "hide_name": 0, - "bits": [ 143 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB1": { - "hide_name": 0, - "bits": [ 144 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB10": { - "hide_name": 0, - "bits": [ 153 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB11": { - "hide_name": 0, - "bits": [ 154 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB12": { - "hide_name": 0, - "bits": [ 155 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB13": { - "hide_name": 0, - "bits": [ 156 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB14": { - "hide_name": 0, - "bits": [ 157 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB15": { - "hide_name": 0, - "bits": [ 158 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB16": { - "hide_name": 0, - "bits": [ 159 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB17": { - "hide_name": 0, - "bits": [ 160 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB18": { - "hide_name": 0, - "bits": [ 161 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB19": { - "hide_name": 0, - "bits": [ 162 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB2": { - "hide_name": 0, - "bits": [ 145 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB20": { - "hide_name": 0, - "bits": [ 163 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB21": { - "hide_name": 0, - "bits": [ 164 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB22": { - "hide_name": 0, - "bits": [ 165 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB23": { - "hide_name": 0, - "bits": [ 166 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB24": { - "hide_name": 0, - "bits": [ 167 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB25": { - "hide_name": 0, - "bits": [ 168 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB26": { - "hide_name": 0, - "bits": [ 169 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB27": { - "hide_name": 0, - "bits": [ 170 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB28": { - "hide_name": 0, - "bits": [ 171 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB29": { - "hide_name": 0, - "bits": [ 172 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB3": { - "hide_name": 0, - "bits": [ 146 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB30": { - "hide_name": 0, - "bits": [ 173 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB31": { - "hide_name": 0, - "bits": [ 174 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB32": { - "hide_name": 0, - "bits": [ 175 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB33": { - "hide_name": 0, - "bits": [ 176 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB34": { - "hide_name": 0, - "bits": [ 177 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB35": { - "hide_name": 0, - "bits": [ 178 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB36": { - "hide_name": 0, - "bits": [ 179 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB37": { - "hide_name": 0, - "bits": [ 180 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB38": { - "hide_name": 0, - "bits": [ 181 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB39": { - "hide_name": 0, - "bits": [ 182 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB4": { - "hide_name": 0, - "bits": [ 147 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB40": { - "hide_name": 0, - "bits": [ 183 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB41": { - "hide_name": 0, - "bits": [ 184 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB42": { - "hide_name": 0, - "bits": [ 185 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB43": { - "hide_name": 0, - "bits": [ 186 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB44": { - "hide_name": 0, - "bits": [ 187 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB45": { - "hide_name": 0, - "bits": [ 188 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB46": { - "hide_name": 0, - "bits": [ 189 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB47": { - "hide_name": 0, - "bits": [ 190 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB48": { - "hide_name": 0, - "bits": [ 191 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB49": { - "hide_name": 0, - "bits": [ 192 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB5": { - "hide_name": 0, - "bits": [ 148 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB50": { - "hide_name": 0, - "bits": [ 193 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB51": { - "hide_name": 0, - "bits": [ 194 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB52": { - "hide_name": 0, - "bits": [ 195 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB53": { - "hide_name": 0, - "bits": [ 196 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB6": { - "hide_name": 0, - "bits": [ 149 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB7": { - "hide_name": 0, - "bits": [ 150 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB8": { - "hide_name": 0, - "bits": [ 151 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CFB9": { - "hide_name": 0, - "bits": [ 152 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52" - } - }, - "CIN0": { - "hide_name": 0, - "bits": [ 269 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN1": { - "hide_name": 0, - "bits": [ 270 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN10": { - "hide_name": 0, - "bits": [ 279 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN11": { - "hide_name": 0, - "bits": [ 280 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN12": { - "hide_name": 0, - "bits": [ 281 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN13": { - "hide_name": 0, - "bits": [ 282 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN14": { - "hide_name": 0, - "bits": [ 283 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN15": { - "hide_name": 0, - "bits": [ 284 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN16": { - "hide_name": 0, - "bits": [ 285 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN17": { - "hide_name": 0, - "bits": [ 286 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN18": { - "hide_name": 0, - "bits": [ 287 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN19": { - "hide_name": 0, - "bits": [ 288 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN2": { - "hide_name": 0, - "bits": [ 271 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN20": { - "hide_name": 0, - "bits": [ 289 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN21": { - "hide_name": 0, - "bits": [ 290 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN22": { - "hide_name": 0, - "bits": [ 291 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN23": { - "hide_name": 0, - "bits": [ 292 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN24": { - "hide_name": 0, - "bits": [ 293 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN25": { - "hide_name": 0, - "bits": [ 294 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN26": { - "hide_name": 0, - "bits": [ 295 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN27": { - "hide_name": 0, - "bits": [ 296 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN28": { - "hide_name": 0, - "bits": [ 297 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN29": { - "hide_name": 0, - "bits": [ 298 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN3": { - "hide_name": 0, - "bits": [ 272 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN30": { - "hide_name": 0, - "bits": [ 299 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN31": { - "hide_name": 0, - "bits": [ 300 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN32": { - "hide_name": 0, - "bits": [ 301 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN33": { - "hide_name": 0, - "bits": [ 302 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN34": { - "hide_name": 0, - "bits": [ 303 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN35": { - "hide_name": 0, - "bits": [ 304 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN36": { - "hide_name": 0, - "bits": [ 305 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN37": { - "hide_name": 0, - "bits": [ 306 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN38": { - "hide_name": 0, - "bits": [ 307 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN39": { - "hide_name": 0, - "bits": [ 308 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN4": { - "hide_name": 0, - "bits": [ 273 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN40": { - "hide_name": 0, - "bits": [ 309 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN41": { - "hide_name": 0, - "bits": [ 310 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN42": { - "hide_name": 0, - "bits": [ 311 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN43": { - "hide_name": 0, - "bits": [ 312 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN44": { - "hide_name": 0, - "bits": [ 313 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN45": { - "hide_name": 0, - "bits": [ 314 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN46": { - "hide_name": 0, - "bits": [ 315 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN47": { - "hide_name": 0, - "bits": [ 316 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN48": { - "hide_name": 0, - "bits": [ 317 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN49": { - "hide_name": 0, - "bits": [ 318 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN5": { - "hide_name": 0, - "bits": [ 274 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN50": { - "hide_name": 0, - "bits": [ 319 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN51": { - "hide_name": 0, - "bits": [ 320 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN52": { - "hide_name": 0, - "bits": [ 321 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN53": { - "hide_name": 0, - "bits": [ 322 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN6": { - "hide_name": 0, - "bits": [ 275 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN7": { - "hide_name": 0, - "bits": [ 276 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN8": { - "hide_name": 0, - "bits": [ 277 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CIN9": { - "hide_name": 0, - "bits": [ 278 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55" - } - }, - "CLK0": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45" - } - }, - "CLK1": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45" - } - }, - "CLK2": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45" - } - }, - "CLK3": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45" - } - }, - "CO0": { - "hide_name": 0, - "bits": [ 388 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO1": { - "hide_name": 0, - "bits": [ 389 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO10": { - "hide_name": 0, - "bits": [ 398 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO11": { - "hide_name": 0, - "bits": [ 399 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO12": { - "hide_name": 0, - "bits": [ 400 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO13": { - "hide_name": 0, - "bits": [ 401 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO14": { - "hide_name": 0, - "bits": [ 402 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO15": { - "hide_name": 0, - "bits": [ 403 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO16": { - "hide_name": 0, - "bits": [ 404 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO17": { - "hide_name": 0, - "bits": [ 405 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO18": { - "hide_name": 0, - "bits": [ 406 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO19": { - "hide_name": 0, - "bits": [ 407 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO2": { - "hide_name": 0, - "bits": [ 390 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO20": { - "hide_name": 0, - "bits": [ 408 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO21": { - "hide_name": 0, - "bits": [ 409 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO22": { - "hide_name": 0, - "bits": [ 410 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO23": { - "hide_name": 0, - "bits": [ 411 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO24": { - "hide_name": 0, - "bits": [ 412 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO25": { - "hide_name": 0, - "bits": [ 413 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO26": { - "hide_name": 0, - "bits": [ 414 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO27": { - "hide_name": 0, - "bits": [ 415 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO28": { - "hide_name": 0, - "bits": [ 416 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO29": { - "hide_name": 0, - "bits": [ 417 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO3": { - "hide_name": 0, - "bits": [ 391 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO30": { - "hide_name": 0, - "bits": [ 418 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO31": { - "hide_name": 0, - "bits": [ 419 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO32": { - "hide_name": 0, - "bits": [ 420 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO33": { - "hide_name": 0, - "bits": [ 421 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO34": { - "hide_name": 0, - "bits": [ 422 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO35": { - "hide_name": 0, - "bits": [ 423 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO36": { - "hide_name": 0, - "bits": [ 424 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO37": { - "hide_name": 0, - "bits": [ 425 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO38": { - "hide_name": 0, - "bits": [ 426 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO39": { - "hide_name": 0, - "bits": [ 427 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO4": { - "hide_name": 0, - "bits": [ 392 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO40": { - "hide_name": 0, - "bits": [ 428 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO41": { - "hide_name": 0, - "bits": [ 429 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO42": { - "hide_name": 0, - "bits": [ 430 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO43": { - "hide_name": 0, - "bits": [ 431 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO44": { - "hide_name": 0, - "bits": [ 432 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO45": { - "hide_name": 0, - "bits": [ 433 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO46": { - "hide_name": 0, - "bits": [ 434 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO47": { - "hide_name": 0, - "bits": [ 435 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO48": { - "hide_name": 0, - "bits": [ 436 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO49": { - "hide_name": 0, - "bits": [ 437 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO5": { - "hide_name": 0, - "bits": [ 393 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO50": { - "hide_name": 0, - "bits": [ 438 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO51": { - "hide_name": 0, - "bits": [ 439 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO52": { - "hide_name": 0, - "bits": [ 440 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO53": { - "hide_name": 0, - "bits": [ 441 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO6": { - "hide_name": 0, - "bits": [ 394 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO7": { - "hide_name": 0, - "bits": [ 395 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO8": { - "hide_name": 0, - "bits": [ 396 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "CO9": { - "hide_name": 0, - "bits": [ 397 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58" - } - }, - "EQOM": { - "hide_name": 0, - "bits": [ 444 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59" - } - }, - "EQPAT": { - "hide_name": 0, - "bits": [ 445 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59" - } - }, - "EQPATB": { - "hide_name": 0, - "bits": [ 446 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59" - } - }, - "EQZ": { - "hide_name": 0, - "bits": [ 442 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59" - } - }, - "EQZM": { - "hide_name": 0, - "bits": [ 443 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59" - } - }, - "MA0": { - "hide_name": 0, - "bits": [ 197 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA1": { - "hide_name": 0, - "bits": [ 198 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA10": { - "hide_name": 0, - "bits": [ 207 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA11": { - "hide_name": 0, - "bits": [ 208 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA12": { - "hide_name": 0, - "bits": [ 209 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA13": { - "hide_name": 0, - "bits": [ 210 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA14": { - "hide_name": 0, - "bits": [ 211 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA15": { - "hide_name": 0, - "bits": [ 212 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA16": { - "hide_name": 0, - "bits": [ 213 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA17": { - "hide_name": 0, - "bits": [ 214 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA18": { - "hide_name": 0, - "bits": [ 215 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA19": { - "hide_name": 0, - "bits": [ 216 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA2": { - "hide_name": 0, - "bits": [ 199 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA20": { - "hide_name": 0, - "bits": [ 217 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA21": { - "hide_name": 0, - "bits": [ 218 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA22": { - "hide_name": 0, - "bits": [ 219 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA23": { - "hide_name": 0, - "bits": [ 220 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA24": { - "hide_name": 0, - "bits": [ 221 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA25": { - "hide_name": 0, - "bits": [ 222 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA26": { - "hide_name": 0, - "bits": [ 223 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA27": { - "hide_name": 0, - "bits": [ 224 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA28": { - "hide_name": 0, - "bits": [ 225 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA29": { - "hide_name": 0, - "bits": [ 226 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA3": { - "hide_name": 0, - "bits": [ 200 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA30": { - "hide_name": 0, - "bits": [ 227 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA31": { - "hide_name": 0, - "bits": [ 228 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA32": { - "hide_name": 0, - "bits": [ 229 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA33": { - "hide_name": 0, - "bits": [ 230 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA34": { - "hide_name": 0, - "bits": [ 231 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA35": { - "hide_name": 0, - "bits": [ 232 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA4": { - "hide_name": 0, - "bits": [ 201 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA5": { - "hide_name": 0, - "bits": [ 202 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA6": { - "hide_name": 0, - "bits": [ 203 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA7": { - "hide_name": 0, - "bits": [ 204 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA8": { - "hide_name": 0, - "bits": [ 205 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MA9": { - "hide_name": 0, - "bits": [ 206 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53" - } - }, - "MB0": { - "hide_name": 0, - "bits": [ 233 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB1": { - "hide_name": 0, - "bits": [ 234 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB10": { - "hide_name": 0, - "bits": [ 243 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB11": { - "hide_name": 0, - "bits": [ 244 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB12": { - "hide_name": 0, - "bits": [ 245 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB13": { - "hide_name": 0, - "bits": [ 246 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB14": { - "hide_name": 0, - "bits": [ 247 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB15": { - "hide_name": 0, - "bits": [ 248 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB16": { - "hide_name": 0, - "bits": [ 249 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB17": { - "hide_name": 0, - "bits": [ 250 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB18": { - "hide_name": 0, - "bits": [ 251 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB19": { - "hide_name": 0, - "bits": [ 252 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB2": { - "hide_name": 0, - "bits": [ 235 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB20": { - "hide_name": 0, - "bits": [ 253 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB21": { - "hide_name": 0, - "bits": [ 254 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB22": { - "hide_name": 0, - "bits": [ 255 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB23": { - "hide_name": 0, - "bits": [ 256 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB24": { - "hide_name": 0, - "bits": [ 257 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB25": { - "hide_name": 0, - "bits": [ 258 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB26": { - "hide_name": 0, - "bits": [ 259 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB27": { - "hide_name": 0, - "bits": [ 260 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB28": { - "hide_name": 0, - "bits": [ 261 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB29": { - "hide_name": 0, - "bits": [ 262 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB3": { - "hide_name": 0, - "bits": [ 236 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB30": { - "hide_name": 0, - "bits": [ 263 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB31": { - "hide_name": 0, - "bits": [ 264 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB32": { - "hide_name": 0, - "bits": [ 265 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB33": { - "hide_name": 0, - "bits": [ 266 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB34": { - "hide_name": 0, - "bits": [ 267 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB35": { - "hide_name": 0, - "bits": [ 268 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB4": { - "hide_name": 0, - "bits": [ 237 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB5": { - "hide_name": 0, - "bits": [ 238 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB6": { - "hide_name": 0, - "bits": [ 239 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB7": { - "hide_name": 0, - "bits": [ 240 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB8": { - "hide_name": 0, - "bits": [ 241 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "MB9": { - "hide_name": 0, - "bits": [ 242 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54" - } - }, - "OP0": { - "hide_name": 0, - "bits": [ 323 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP1": { - "hide_name": 0, - "bits": [ 324 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP10": { - "hide_name": 0, - "bits": [ 333 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP2": { - "hide_name": 0, - "bits": [ 325 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP3": { - "hide_name": 0, - "bits": [ 326 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP4": { - "hide_name": 0, - "bits": [ 327 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP5": { - "hide_name": 0, - "bits": [ 328 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP6": { - "hide_name": 0, - "bits": [ 329 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP7": { - "hide_name": 0, - "bits": [ 330 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP8": { - "hide_name": 0, - "bits": [ 331 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OP9": { - "hide_name": 0, - "bits": [ 332 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56" - } - }, - "OVER": { - "hide_name": 0, - "bits": [ 447 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:60" - } - }, - "OVERUNDER": { - "hide_name": 0, - "bits": [ 449 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:60" - } - }, - "R0": { - "hide_name": 0, - "bits": [ 334 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R1": { - "hide_name": 0, - "bits": [ 335 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R10": { - "hide_name": 0, - "bits": [ 344 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R11": { - "hide_name": 0, - "bits": [ 345 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R12": { - "hide_name": 0, - "bits": [ 346 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R13": { - "hide_name": 0, - "bits": [ 347 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R14": { - "hide_name": 0, - "bits": [ 348 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R15": { - "hide_name": 0, - "bits": [ 349 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R16": { - "hide_name": 0, - "bits": [ 350 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R17": { - "hide_name": 0, - "bits": [ 351 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R18": { - "hide_name": 0, - "bits": [ 352 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R19": { - "hide_name": 0, - "bits": [ 353 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R2": { - "hide_name": 0, - "bits": [ 336 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R20": { - "hide_name": 0, - "bits": [ 354 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R21": { - "hide_name": 0, - "bits": [ 355 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R22": { - "hide_name": 0, - "bits": [ 356 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R23": { - "hide_name": 0, - "bits": [ 357 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R24": { - "hide_name": 0, - "bits": [ 358 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R25": { - "hide_name": 0, - "bits": [ 359 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R26": { - "hide_name": 0, - "bits": [ 360 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R27": { - "hide_name": 0, - "bits": [ 361 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R28": { - "hide_name": 0, - "bits": [ 362 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R29": { - "hide_name": 0, - "bits": [ 363 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R3": { - "hide_name": 0, - "bits": [ 337 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R30": { - "hide_name": 0, - "bits": [ 364 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R31": { - "hide_name": 0, - "bits": [ 365 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R32": { - "hide_name": 0, - "bits": [ 366 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R33": { - "hide_name": 0, - "bits": [ 367 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R34": { - "hide_name": 0, - "bits": [ 368 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R35": { - "hide_name": 0, - "bits": [ 369 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R36": { - "hide_name": 0, - "bits": [ 370 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R37": { - "hide_name": 0, - "bits": [ 371 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R38": { - "hide_name": 0, - "bits": [ 372 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R39": { - "hide_name": 0, - "bits": [ 373 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R4": { - "hide_name": 0, - "bits": [ 338 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R40": { - "hide_name": 0, - "bits": [ 374 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R41": { - "hide_name": 0, - "bits": [ 375 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R42": { - "hide_name": 0, - "bits": [ 376 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R43": { - "hide_name": 0, - "bits": [ 377 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R44": { - "hide_name": 0, - "bits": [ 378 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R45": { - "hide_name": 0, - "bits": [ 379 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R46": { - "hide_name": 0, - "bits": [ 380 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R47": { - "hide_name": 0, - "bits": [ 381 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R48": { - "hide_name": 0, - "bits": [ 382 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R49": { - "hide_name": 0, - "bits": [ 383 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R5": { - "hide_name": 0, - "bits": [ 339 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R50": { - "hide_name": 0, - "bits": [ 384 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R51": { - "hide_name": 0, - "bits": [ 385 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R52": { - "hide_name": 0, - "bits": [ 386 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R53": { - "hide_name": 0, - "bits": [ 387 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R6": { - "hide_name": 0, - "bits": [ 340 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R7": { - "hide_name": 0, - "bits": [ 341 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R8": { - "hide_name": 0, - "bits": [ 342 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "R9": { - "hide_name": 0, - "bits": [ 343 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57" - } - }, - "RST0": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47" - } - }, - "RST1": { - "hide_name": 0, - "bits": [ 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47" - } - }, - "RST2": { - "hide_name": 0, - "bits": [ 12 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47" - } - }, - "RST3": { - "hide_name": 0, - "bits": [ 13 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47" - } - }, - "SIGNEDCIN": { - "hide_name": 0, - "bits": [ 16 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:48" - } - }, - "SIGNEDIA": { - "hide_name": 0, - "bits": [ 14 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:48" - } - }, - "SIGNEDIB": { - "hide_name": 0, - "bits": [ 15 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:48" - } - }, - "SIGNEDR": { - "hide_name": 0, - "bits": [ 450 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:61" - } - }, - "UNDER": { - "hide_name": 0, - "bits": [ 448 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:60" - } - } - } - }, - "BB": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:296" - }, - "ports": { - "I": { - "direction": "input", - "bits": [ 2 ] - }, - "T": { - "direction": "input", - "bits": [ 3 ] - }, - "O": { - "direction": "output", - "bits": [ 4 ] - }, - "B": { - "direction": "inout", - "bits": [ 5 ] - } - }, - "cells": { - }, - "netnames": { - "B": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:296" - } - }, - "I": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:296" - } - }, - "O": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:296" - } - }, - "T": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:296" - } - } - } - }, - "CCU2C": { - "attributes": { - "blackbox": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - }, - "ports": { - "CIN": { - "direction": "input", - "bits": [ 2 ] - }, - "A0": { - "direction": "input", - "bits": [ 3 ] - }, - "B0": { - "direction": "input", - "bits": [ 4 ] - }, - "C0": { - "direction": "input", - "bits": [ 5 ] - }, - "D0": { - "direction": "input", - "bits": [ 6 ] - }, - "A1": { - "direction": "input", - "bits": [ 7 ] - }, - "B1": { - "direction": "input", - "bits": [ 8 ] - }, - "C1": { - "direction": "input", - "bits": [ 9 ] - }, - "D1": { - "direction": "input", - "bits": [ 10 ] - }, - "S0": { - "direction": "output", - "bits": [ 11 ] - }, - "S1": { - "direction": "output", - "bits": [ 12 ] - }, - "COUT": { - "direction": "output", - "bits": [ 13 ] - } - }, - "cells": { - }, - "netnames": { - "A0": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - } - }, - "A1": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - } - }, - "B0": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - } - }, - "B1": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - } - }, - "C0": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - } - }, - "C1": { - "hide_name": 0, - "bits": [ 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - } - }, - "CIN": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - } - }, - "COUT": { - "hide_name": 0, - "bits": [ 13 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:20" - } - }, - "D0": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - } - }, - "D1": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" - } - }, - "S0": { - "hide_name": 0, - "bits": [ 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:20" - } - }, - "S1": { - "hide_name": 0, - "bits": [ 12 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:20" - } - } - } - }, - "CLKDIVF": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:176" - }, - "ports": { - "CLKI": { - "direction": "input", - "bits": [ 2 ] - }, - "RST": { - "direction": "input", - "bits": [ 3 ] - }, - "ALIGNWD": { - "direction": "input", - "bits": [ 4 ] - }, - "CDIVX": { - "direction": "output", - "bits": [ 5 ] - } - }, - "cells": { - }, - "netnames": { - "ALIGNWD": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:177" - } - }, - "CDIVX": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:178" - } - }, - "CLKI": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:177" - } - }, - "RST": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:177" - } - } - } - }, - "DCCA": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:185" - }, - "ports": { - "CLKI": { - "direction": "input", - "bits": [ 2 ] - }, - "CE": { - "direction": "input", - "bits": [ 3 ] - }, - "CLKO": { - "direction": "output", - "bits": [ 4 ] - } - }, - "cells": { - }, - "netnames": { - "CE": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:186" - } - }, - "CLKI": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:186" - } - }, - "CLKO": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:187" - } - } - } - }, - "DCUA": { - "attributes": { - "keep": 1, - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:192" - }, - "ports": { - "CH0_HDINP": { - "direction": "input", - "bits": [ 2 ] - }, - "CH1_HDINP": { - "direction": "input", - "bits": [ 3 ] - }, - "CH0_HDINN": { - "direction": "input", - "bits": [ 4 ] - }, - "CH1_HDINN": { - "direction": "input", - "bits": [ 5 ] - }, - "D_TXBIT_CLKP_FROM_ND": { - "direction": "input", - "bits": [ 6 ] - }, - "D_TXBIT_CLKN_FROM_ND": { - "direction": "input", - "bits": [ 7 ] - }, - "D_SYNC_ND": { - "direction": "input", - "bits": [ 8 ] - }, - "D_TXPLL_LOL_FROM_ND": { - "direction": "input", - "bits": [ 9 ] - }, - "CH0_RX_REFCLK": { - "direction": "input", - "bits": [ 10 ] - }, - "CH1_RX_REFCLK": { - "direction": "input", - "bits": [ 11 ] - }, - "CH0_FF_RXI_CLK": { - "direction": "input", - "bits": [ 12 ] - }, - "CH1_FF_RXI_CLK": { - "direction": "input", - "bits": [ 13 ] - }, - "CH0_FF_TXI_CLK": { - "direction": "input", - "bits": [ 14 ] - }, - "CH1_FF_TXI_CLK": { - "direction": "input", - "bits": [ 15 ] - }, - "CH0_FF_EBRD_CLK": { - "direction": "input", - "bits": [ 16 ] - }, - "CH1_FF_EBRD_CLK": { - "direction": "input", - "bits": [ 17 ] - }, - "CH0_FF_TX_D_0": { - "direction": "input", - "bits": [ 18 ] - }, - "CH1_FF_TX_D_0": { - "direction": "input", - "bits": [ 19 ] - }, - "CH0_FF_TX_D_1": { - "direction": "input", - "bits": [ 20 ] - }, - "CH1_FF_TX_D_1": { - "direction": "input", - "bits": [ 21 ] - }, - "CH0_FF_TX_D_2": { - "direction": "input", - "bits": [ 22 ] - }, - "CH1_FF_TX_D_2": { - "direction": "input", - "bits": [ 23 ] - }, - "CH0_FF_TX_D_3": { - "direction": "input", - "bits": [ 24 ] - }, - "CH1_FF_TX_D_3": { - "direction": "input", - "bits": [ 25 ] - }, - "CH0_FF_TX_D_4": { - "direction": "input", - "bits": [ 26 ] - }, - "CH1_FF_TX_D_4": { - "direction": "input", - "bits": [ 27 ] - }, - "CH0_FF_TX_D_5": { - "direction": "input", - "bits": [ 28 ] - }, - "CH1_FF_TX_D_5": { - "direction": "input", - "bits": [ 29 ] - }, - "CH0_FF_TX_D_6": { - "direction": "input", - "bits": [ 30 ] - }, - "CH1_FF_TX_D_6": { - "direction": "input", - "bits": [ 31 ] - }, - "CH0_FF_TX_D_7": { - "direction": "input", - "bits": [ 32 ] - }, - "CH1_FF_TX_D_7": { - "direction": "input", - "bits": [ 33 ] - }, - "CH0_FF_TX_D_8": { - "direction": "input", - "bits": [ 34 ] - }, - "CH1_FF_TX_D_8": { - "direction": "input", - "bits": [ 35 ] - }, - "CH0_FF_TX_D_9": { - "direction": "input", - "bits": [ 36 ] - }, - "CH1_FF_TX_D_9": { - "direction": "input", - "bits": [ 37 ] - }, - "CH0_FF_TX_D_10": { - "direction": "input", - "bits": [ 38 ] - }, - "CH1_FF_TX_D_10": { - "direction": "input", - "bits": [ 39 ] - }, - "CH0_FF_TX_D_11": { - "direction": "input", - "bits": [ 40 ] - }, - "CH1_FF_TX_D_11": { - "direction": "input", - "bits": [ 41 ] - }, - "CH0_FF_TX_D_12": { - "direction": "input", - "bits": [ 42 ] - }, - "CH1_FF_TX_D_12": { - "direction": "input", - "bits": [ 43 ] - }, - "CH0_FF_TX_D_13": { - "direction": "input", - "bits": [ 44 ] - }, - "CH1_FF_TX_D_13": { - "direction": "input", - "bits": [ 45 ] - }, - "CH0_FF_TX_D_14": { - "direction": "input", - "bits": [ 46 ] - }, - "CH1_FF_TX_D_14": { - "direction": "input", - "bits": [ 47 ] - }, - "CH0_FF_TX_D_15": { - "direction": "input", - "bits": [ 48 ] - }, - "CH1_FF_TX_D_15": { - "direction": "input", - "bits": [ 49 ] - }, - "CH0_FF_TX_D_16": { - "direction": "input", - "bits": [ 50 ] - }, - "CH1_FF_TX_D_16": { - "direction": "input", - "bits": [ 51 ] - }, - "CH0_FF_TX_D_17": { - "direction": "input", - "bits": [ 52 ] - }, - "CH1_FF_TX_D_17": { - "direction": "input", - "bits": [ 53 ] - }, - "CH0_FF_TX_D_18": { - "direction": "input", - "bits": [ 54 ] - }, - "CH1_FF_TX_D_18": { - "direction": "input", - "bits": [ 55 ] - }, - "CH0_FF_TX_D_19": { - "direction": "input", - "bits": [ 56 ] - }, - "CH1_FF_TX_D_19": { - "direction": "input", - "bits": [ 57 ] - }, - "CH0_FF_TX_D_20": { - "direction": "input", - "bits": [ 58 ] - }, - "CH1_FF_TX_D_20": { - "direction": "input", - "bits": [ 59 ] - }, - "CH0_FF_TX_D_21": { - "direction": "input", - "bits": [ 60 ] - }, - "CH1_FF_TX_D_21": { - "direction": "input", - "bits": [ 61 ] - }, - "CH0_FF_TX_D_22": { - "direction": "input", - "bits": [ 62 ] - }, - "CH1_FF_TX_D_22": { - "direction": "input", - "bits": [ 63 ] - }, - "CH0_FF_TX_D_23": { - "direction": "input", - "bits": [ 64 ] - }, - "CH1_FF_TX_D_23": { - "direction": "input", - "bits": [ 65 ] - }, - "CH0_FFC_EI_EN": { - "direction": "input", - "bits": [ 66 ] - }, - "CH1_FFC_EI_EN": { - "direction": "input", - "bits": [ 67 ] - }, - "CH0_FFC_PCIE_DET_EN": { - "direction": "input", - "bits": [ 68 ] - }, - "CH1_FFC_PCIE_DET_EN": { - "direction": "input", - "bits": [ 69 ] - }, - "CH0_FFC_PCIE_CT": { - "direction": "input", - "bits": [ 70 ] - }, - "CH1_FFC_PCIE_CT": { - "direction": "input", - "bits": [ 71 ] - }, - "CH0_FFC_SB_INV_RX": { - "direction": "input", - "bits": [ 72 ] - }, - "CH1_FFC_SB_INV_RX": { - "direction": "input", - "bits": [ 73 ] - }, - "CH0_FFC_ENABLE_CGALIGN": { - "direction": "input", - "bits": [ 74 ] - }, - "CH1_FFC_ENABLE_CGALIGN": { - "direction": "input", - "bits": [ 75 ] - }, - "CH0_FFC_SIGNAL_DETECT": { - "direction": "input", - "bits": [ 76 ] - }, - "CH1_FFC_SIGNAL_DETECT": { - "direction": "input", - "bits": [ 77 ] - }, - "CH0_FFC_FB_LOOPBACK": { - "direction": "input", - "bits": [ 78 ] - }, - "CH1_FFC_FB_LOOPBACK": { - "direction": "input", - "bits": [ 79 ] - }, - "CH0_FFC_SB_PFIFO_LP": { - "direction": "input", - "bits": [ 80 ] - }, - "CH1_FFC_SB_PFIFO_LP": { - "direction": "input", - "bits": [ 81 ] - }, - "CH0_FFC_PFIFO_CLR": { - "direction": "input", - "bits": [ 82 ] - }, - "CH1_FFC_PFIFO_CLR": { - "direction": "input", - "bits": [ 83 ] - }, - "CH0_FFC_RATE_MODE_RX": { - "direction": "input", - "bits": [ 84 ] - }, - "CH1_FFC_RATE_MODE_RX": { - "direction": "input", - "bits": [ 85 ] - }, - "CH0_FFC_RATE_MODE_TX": { - "direction": "input", - "bits": [ 86 ] - }, - "CH1_FFC_RATE_MODE_TX": { - "direction": "input", - "bits": [ 87 ] - }, - "CH0_FFC_DIV11_MODE_RX": { - "direction": "input", - "bits": [ 88 ] - }, - "CH1_FFC_DIV11_MODE_RX": { - "direction": "input", - "bits": [ 89 ] - }, - "CH0_FFC_RX_GEAR_MODE": { - "direction": "input", - "bits": [ 90 ] - }, - "CH1_FFC_RX_GEAR_MODE": { - "direction": "input", - "bits": [ 91 ] - }, - "CH0_FFC_TX_GEAR_MODE": { - "direction": "input", - "bits": [ 92 ] - }, - "CH1_FFC_TX_GEAR_MODE": { - "direction": "input", - "bits": [ 93 ] - }, - "CH0_FFC_DIV11_MODE_TX": { - "direction": "input", - "bits": [ 94 ] - }, - "CH1_FFC_DIV11_MODE_TX": { - "direction": "input", - "bits": [ 95 ] - }, - "CH0_FFC_LDR_CORE2TX_EN": { - "direction": "input", - "bits": [ 96 ] - }, - "CH1_FFC_LDR_CORE2TX_EN": { - "direction": "input", - "bits": [ 97 ] - }, - "CH0_FFC_LANE_TX_RST": { - "direction": "input", - "bits": [ 98 ] - }, - "CH1_FFC_LANE_TX_RST": { - "direction": "input", - "bits": [ 99 ] - }, - "CH0_FFC_LANE_RX_RST": { - "direction": "input", - "bits": [ 100 ] - }, - "CH1_FFC_LANE_RX_RST": { - "direction": "input", - "bits": [ 101 ] - }, - "CH0_FFC_RRST": { - "direction": "input", - "bits": [ 102 ] - }, - "CH1_FFC_RRST": { - "direction": "input", - "bits": [ 103 ] - }, - "CH0_FFC_TXPWDNB": { - "direction": "input", - "bits": [ 104 ] - }, - "CH1_FFC_TXPWDNB": { - "direction": "input", - "bits": [ 105 ] - }, - "CH0_FFC_RXPWDNB": { - "direction": "input", - "bits": [ 106 ] - }, - "CH1_FFC_RXPWDNB": { - "direction": "input", - "bits": [ 107 ] - }, - "CH0_LDR_CORE2TX": { - "direction": "input", - "bits": [ 108 ] - }, - "CH1_LDR_CORE2TX": { - "direction": "input", - "bits": [ 109 ] - }, - "D_SCIWDATA0": { - "direction": "input", - "bits": [ 110 ] - }, - "D_SCIWDATA1": { - "direction": "input", - "bits": [ 111 ] - }, - "D_SCIWDATA2": { - "direction": "input", - "bits": [ 112 ] - }, - "D_SCIWDATA3": { - "direction": "input", - "bits": [ 113 ] - }, - "D_SCIWDATA4": { - "direction": "input", - "bits": [ 114 ] - }, - "D_SCIWDATA5": { - "direction": "input", - "bits": [ 115 ] - }, - "D_SCIWDATA6": { - "direction": "input", - "bits": [ 116 ] - }, - "D_SCIWDATA7": { - "direction": "input", - "bits": [ 117 ] - }, - "D_SCIADDR0": { - "direction": "input", - "bits": [ 118 ] - }, - "D_SCIADDR1": { - "direction": "input", - "bits": [ 119 ] - }, - "D_SCIADDR2": { - "direction": "input", - "bits": [ 120 ] - }, - "D_SCIADDR3": { - "direction": "input", - "bits": [ 121 ] - }, - "D_SCIADDR4": { - "direction": "input", - "bits": [ 122 ] - }, - "D_SCIADDR5": { - "direction": "input", - "bits": [ 123 ] - }, - "D_SCIENAUX": { - "direction": "input", - "bits": [ 124 ] - }, - "D_SCISELAUX": { - "direction": "input", - "bits": [ 125 ] - }, - "CH0_SCIEN": { - "direction": "input", - "bits": [ 126 ] - }, - "CH1_SCIEN": { - "direction": "input", - "bits": [ 127 ] - }, - "CH0_SCISEL": { - "direction": "input", - "bits": [ 128 ] - }, - "CH1_SCISEL": { - "direction": "input", - "bits": [ 129 ] - }, - "D_SCIRD": { - "direction": "input", - "bits": [ 130 ] - }, - "D_SCIWSTN": { - "direction": "input", - "bits": [ 131 ] - }, - "D_CYAWSTN": { - "direction": "input", - "bits": [ 132 ] - }, - "D_FFC_SYNC_TOGGLE": { - "direction": "input", - "bits": [ 133 ] - }, - "D_FFC_DUAL_RST": { - "direction": "input", - "bits": [ 134 ] - }, - "D_FFC_MACRO_RST": { - "direction": "input", - "bits": [ 135 ] - }, - "D_FFC_MACROPDB": { - "direction": "input", - "bits": [ 136 ] - }, - "D_FFC_TRST": { - "direction": "input", - "bits": [ 137 ] - }, - "CH0_FFC_CDR_EN_BITSLIP": { - "direction": "input", - "bits": [ 138 ] - }, - "CH1_FFC_CDR_EN_BITSLIP": { - "direction": "input", - "bits": [ 139 ] - }, - "D_SCAN_ENABLE": { - "direction": "input", - "bits": [ 140 ] - }, - "D_SCAN_IN_0": { - "direction": "input", - "bits": [ 141 ] - }, - "D_SCAN_IN_1": { - "direction": "input", - "bits": [ 142 ] - }, - "D_SCAN_IN_2": { - "direction": "input", - "bits": [ 143 ] - }, - "D_SCAN_IN_3": { - "direction": "input", - "bits": [ 144 ] - }, - "D_SCAN_IN_4": { - "direction": "input", - "bits": [ 145 ] - }, - "D_SCAN_IN_5": { - "direction": "input", - "bits": [ 146 ] - }, - "D_SCAN_IN_6": { - "direction": "input", - "bits": [ 147 ] - }, - "D_SCAN_IN_7": { - "direction": "input", - "bits": [ 148 ] - }, - "D_SCAN_MODE": { - "direction": "input", - "bits": [ 149 ] - }, - "D_SCAN_RESET": { - "direction": "input", - "bits": [ 150 ] - }, - "D_CIN0": { - "direction": "input", - "bits": [ 151 ] - }, - "D_CIN1": { - "direction": "input", - "bits": [ 152 ] - }, - "D_CIN2": { - "direction": "input", - "bits": [ 153 ] - }, - "D_CIN3": { - "direction": "input", - "bits": [ 154 ] - }, - "D_CIN4": { - "direction": "input", - "bits": [ 155 ] - }, - "D_CIN5": { - "direction": "input", - "bits": [ 156 ] - }, - "D_CIN6": { - "direction": "input", - "bits": [ 157 ] - }, - "D_CIN7": { - "direction": "input", - "bits": [ 158 ] - }, - "D_CIN8": { - "direction": "input", - "bits": [ 159 ] - }, - "D_CIN9": { - "direction": "input", - "bits": [ 160 ] - }, - "D_CIN10": { - "direction": "input", - "bits": [ 161 ] - }, - "D_CIN11": { - "direction": "input", - "bits": [ 162 ] - }, - "CH0_HDOUTP": { - "direction": "output", - "bits": [ 163 ] - }, - "CH1_HDOUTP": { - "direction": "output", - "bits": [ 164 ] - }, - "CH0_HDOUTN": { - "direction": "output", - "bits": [ 165 ] - }, - "CH1_HDOUTN": { - "direction": "output", - "bits": [ 166 ] - }, - "D_TXBIT_CLKP_TO_ND": { - "direction": "output", - "bits": [ 167 ] - }, - "D_TXBIT_CLKN_TO_ND": { - "direction": "output", - "bits": [ 168 ] - }, - "D_SYNC_PULSE2ND": { - "direction": "output", - "bits": [ 169 ] - }, - "D_TXPLL_LOL_TO_ND": { - "direction": "output", - "bits": [ 170 ] - }, - "CH0_FF_RX_F_CLK": { - "direction": "output", - "bits": [ 171 ] - }, - "CH1_FF_RX_F_CLK": { - "direction": "output", - "bits": [ 172 ] - }, - "CH0_FF_RX_H_CLK": { - "direction": "output", - "bits": [ 173 ] - }, - "CH1_FF_RX_H_CLK": { - "direction": "output", - "bits": [ 174 ] - }, - "CH0_FF_TX_F_CLK": { - "direction": "output", - "bits": [ 175 ] - }, - "CH1_FF_TX_F_CLK": { - "direction": "output", - "bits": [ 176 ] - }, - "CH0_FF_TX_H_CLK": { - "direction": "output", - "bits": [ 177 ] - }, - "CH1_FF_TX_H_CLK": { - "direction": "output", - "bits": [ 178 ] - }, - "CH0_FF_RX_PCLK": { - "direction": "output", - "bits": [ 179 ] - }, - "CH1_FF_RX_PCLK": { - "direction": "output", - "bits": [ 180 ] - }, - "CH0_FF_TX_PCLK": { - "direction": "output", - "bits": [ 181 ] - }, - "CH1_FF_TX_PCLK": { - "direction": "output", - "bits": [ 182 ] - }, - "CH0_FF_RX_D_0": { - "direction": "output", - "bits": [ 183 ] - }, - "CH1_FF_RX_D_0": { - "direction": "output", - "bits": [ 184 ] - }, - "CH0_FF_RX_D_1": { - "direction": "output", - "bits": [ 185 ] - }, - "CH1_FF_RX_D_1": { - "direction": "output", - "bits": [ 186 ] - }, - "CH0_FF_RX_D_2": { - "direction": "output", - "bits": [ 187 ] - }, - "CH1_FF_RX_D_2": { - "direction": "output", - "bits": [ 188 ] - }, - "CH0_FF_RX_D_3": { - "direction": "output", - "bits": [ 189 ] - }, - "CH1_FF_RX_D_3": { - "direction": "output", - "bits": [ 190 ] - }, - "CH0_FF_RX_D_4": { - "direction": "output", - "bits": [ 191 ] - }, - "CH1_FF_RX_D_4": { - "direction": "output", - "bits": [ 192 ] - }, - "CH0_FF_RX_D_5": { - "direction": "output", - "bits": [ 193 ] - }, - "CH1_FF_RX_D_5": { - "direction": "output", - "bits": [ 194 ] - }, - "CH0_FF_RX_D_6": { - "direction": "output", - "bits": [ 195 ] - }, - "CH1_FF_RX_D_6": { - "direction": "output", - "bits": [ 196 ] - }, - "CH0_FF_RX_D_7": { - "direction": "output", - "bits": [ 197 ] - }, - "CH1_FF_RX_D_7": { - "direction": "output", - "bits": [ 198 ] - }, - "CH0_FF_RX_D_8": { - "direction": "output", - "bits": [ 199 ] - }, - "CH1_FF_RX_D_8": { - "direction": "output", - "bits": [ 200 ] - }, - "CH0_FF_RX_D_9": { - "direction": "output", - "bits": [ 201 ] - }, - "CH1_FF_RX_D_9": { - "direction": "output", - "bits": [ 202 ] - }, - "CH0_FF_RX_D_10": { - "direction": "output", - "bits": [ 203 ] - }, - "CH1_FF_RX_D_10": { - "direction": "output", - "bits": [ 204 ] - }, - "CH0_FF_RX_D_11": { - "direction": "output", - "bits": [ 205 ] - }, - "CH1_FF_RX_D_11": { - "direction": "output", - "bits": [ 206 ] - }, - "CH0_FF_RX_D_12": { - "direction": "output", - "bits": [ 207 ] - }, - "CH1_FF_RX_D_12": { - "direction": "output", - "bits": [ 208 ] - }, - "CH0_FF_RX_D_13": { - "direction": "output", - "bits": [ 209 ] - }, - "CH1_FF_RX_D_13": { - "direction": "output", - "bits": [ 210 ] - }, - "CH0_FF_RX_D_14": { - "direction": "output", - "bits": [ 211 ] - }, - "CH1_FF_RX_D_14": { - "direction": "output", - "bits": [ 212 ] - }, - "CH0_FF_RX_D_15": { - "direction": "output", - "bits": [ 213 ] - }, - "CH1_FF_RX_D_15": { - "direction": "output", - "bits": [ 214 ] - }, - "CH0_FF_RX_D_16": { - "direction": "output", - "bits": [ 215 ] - }, - "CH1_FF_RX_D_16": { - "direction": "output", - "bits": [ 216 ] - }, - "CH0_FF_RX_D_17": { - "direction": "output", - "bits": [ 217 ] - }, - "CH1_FF_RX_D_17": { - "direction": "output", - "bits": [ 218 ] - }, - "CH0_FF_RX_D_18": { - "direction": "output", - "bits": [ 219 ] - }, - "CH1_FF_RX_D_18": { - "direction": "output", - "bits": [ 220 ] - }, - "CH0_FF_RX_D_19": { - "direction": "output", - "bits": [ 221 ] - }, - "CH1_FF_RX_D_19": { - "direction": "output", - "bits": [ 222 ] - }, - "CH0_FF_RX_D_20": { - "direction": "output", - "bits": [ 223 ] - }, - "CH1_FF_RX_D_20": { - "direction": "output", - "bits": [ 224 ] - }, - "CH0_FF_RX_D_21": { - "direction": "output", - "bits": [ 225 ] - }, - "CH1_FF_RX_D_21": { - "direction": "output", - "bits": [ 226 ] - }, - "CH0_FF_RX_D_22": { - "direction": "output", - "bits": [ 227 ] - }, - "CH1_FF_RX_D_22": { - "direction": "output", - "bits": [ 228 ] - }, - "CH0_FF_RX_D_23": { - "direction": "output", - "bits": [ 229 ] - }, - "CH1_FF_RX_D_23": { - "direction": "output", - "bits": [ 230 ] - }, - "CH0_FFS_PCIE_DONE": { - "direction": "output", - "bits": [ 231 ] - }, - "CH1_FFS_PCIE_DONE": { - "direction": "output", - "bits": [ 232 ] - }, - "CH0_FFS_PCIE_CON": { - "direction": "output", - "bits": [ 233 ] - }, - "CH1_FFS_PCIE_CON": { - "direction": "output", - "bits": [ 234 ] - }, - "CH0_FFS_RLOS": { - "direction": "output", - "bits": [ 235 ] - }, - "CH1_FFS_RLOS": { - "direction": "output", - "bits": [ 236 ] - }, - "CH0_FFS_LS_SYNC_STATUS": { - "direction": "output", - "bits": [ 237 ] - }, - "CH1_FFS_LS_SYNC_STATUS": { - "direction": "output", - "bits": [ 238 ] - }, - "CH0_FFS_CC_UNDERRUN": { - "direction": "output", - "bits": [ 239 ] - }, - "CH1_FFS_CC_UNDERRUN": { - "direction": "output", - "bits": [ 240 ] - }, - "CH0_FFS_CC_OVERRUN": { - "direction": "output", - "bits": [ 241 ] - }, - "CH1_FFS_CC_OVERRUN": { - "direction": "output", - "bits": [ 242 ] - }, - "CH0_FFS_RXFBFIFO_ERROR": { - "direction": "output", - "bits": [ 243 ] - }, - "CH1_FFS_RXFBFIFO_ERROR": { - "direction": "output", - "bits": [ 244 ] - }, - "CH0_FFS_TXFBFIFO_ERROR": { - "direction": "output", - "bits": [ 245 ] - }, - "CH1_FFS_TXFBFIFO_ERROR": { - "direction": "output", - "bits": [ 246 ] - }, - "CH0_FFS_RLOL": { - "direction": "output", - "bits": [ 247 ] - }, - "CH1_FFS_RLOL": { - "direction": "output", - "bits": [ 248 ] - }, - "CH0_FFS_SKP_ADDED": { - "direction": "output", - "bits": [ 249 ] - }, - "CH1_FFS_SKP_ADDED": { - "direction": "output", - "bits": [ 250 ] - }, - "CH0_FFS_SKP_DELETED": { - "direction": "output", - "bits": [ 251 ] - }, - "CH1_FFS_SKP_DELETED": { - "direction": "output", - "bits": [ 252 ] - }, - "CH0_LDR_RX2CORE": { - "direction": "output", - "bits": [ 253 ] - }, - "CH1_LDR_RX2CORE": { - "direction": "output", - "bits": [ 254 ] - }, - "D_SCIRDATA0": { - "direction": "output", - "bits": [ 255 ] - }, - "D_SCIRDATA1": { - "direction": "output", - "bits": [ 256 ] - }, - "D_SCIRDATA2": { - "direction": "output", - "bits": [ 257 ] - }, - "D_SCIRDATA3": { - "direction": "output", - "bits": [ 258 ] - }, - "D_SCIRDATA4": { - "direction": "output", - "bits": [ 259 ] - }, - "D_SCIRDATA5": { - "direction": "output", - "bits": [ 260 ] - }, - "D_SCIRDATA6": { - "direction": "output", - "bits": [ 261 ] - }, - "D_SCIRDATA7": { - "direction": "output", - "bits": [ 262 ] - }, - "D_SCIINT": { - "direction": "output", - "bits": [ 263 ] - }, - "D_SCAN_OUT_0": { - "direction": "output", - "bits": [ 264 ] - }, - "D_SCAN_OUT_1": { - "direction": "output", - "bits": [ 265 ] - }, - "D_SCAN_OUT_2": { - "direction": "output", - "bits": [ 266 ] - }, - "D_SCAN_OUT_3": { - "direction": "output", - "bits": [ 267 ] - }, - "D_SCAN_OUT_4": { - "direction": "output", - "bits": [ 268 ] - }, - "D_SCAN_OUT_5": { - "direction": "output", - "bits": [ 269 ] - }, - "D_SCAN_OUT_6": { - "direction": "output", - "bits": [ 270 ] - }, - "D_SCAN_OUT_7": { - "direction": "output", - "bits": [ 271 ] - }, - "D_COUT0": { - "direction": "output", - "bits": [ 272 ] - }, - "D_COUT1": { - "direction": "output", - "bits": [ 273 ] - }, - "D_COUT2": { - "direction": "output", - "bits": [ 274 ] - }, - "D_COUT3": { - "direction": "output", - "bits": [ 275 ] - }, - "D_COUT4": { - "direction": "output", - "bits": [ 276 ] - }, - "D_COUT5": { - "direction": "output", - "bits": [ 277 ] - }, - "D_COUT6": { - "direction": "output", - "bits": [ 278 ] - }, - "D_COUT7": { - "direction": "output", - "bits": [ 279 ] - }, - "D_COUT8": { - "direction": "output", - "bits": [ 280 ] - }, - "D_COUT9": { - "direction": "output", - "bits": [ 281 ] - }, - "D_COUT10": { - "direction": "output", - "bits": [ 282 ] - }, - "D_COUT11": { - "direction": "output", - "bits": [ 283 ] - }, - "D_COUT12": { - "direction": "output", - "bits": [ 284 ] - }, - "D_COUT13": { - "direction": "output", - "bits": [ 285 ] - }, - "D_COUT14": { - "direction": "output", - "bits": [ 286 ] - }, - "D_COUT15": { - "direction": "output", - "bits": [ 287 ] - }, - "D_COUT16": { - "direction": "output", - "bits": [ 288 ] - }, - "D_COUT17": { - "direction": "output", - "bits": [ 289 ] - }, - "D_COUT18": { - "direction": "output", - "bits": [ 290 ] - }, - "D_COUT19": { - "direction": "output", - "bits": [ 291 ] - }, - "D_REFCLKI": { - "direction": "input", - "bits": [ 292 ] - }, - "D_FFS_PLOL": { - "direction": "output", - "bits": [ 293 ] - } - }, - "cells": { - }, - "netnames": { - "CH0_FFC_CDR_EN_BITSLIP": { - "hide_name": 0, - "bits": [ 138 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:210" - } - }, - "CH0_FFC_DIV11_MODE_RX": { - "hide_name": 0, - "bits": [ 88 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH0_FFC_DIV11_MODE_TX": { - "hide_name": 0, - "bits": [ 94 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205" - } - }, - "CH0_FFC_EI_EN": { - "hide_name": 0, - "bits": [ 66 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:202" - } - }, - "CH0_FFC_ENABLE_CGALIGN": { - "hide_name": 0, - "bits": [ 74 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203" - } - }, - "CH0_FFC_FB_LOOPBACK": { - "hide_name": 0, - "bits": [ 78 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203" - } - }, - "CH0_FFC_LANE_RX_RST": { - "hide_name": 0, - "bits": [ 100 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205" - } - }, - "CH0_FFC_LANE_TX_RST": { - "hide_name": 0, - "bits": [ 98 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205" - } - }, - "CH0_FFC_LDR_CORE2TX_EN": { - "hide_name": 0, - "bits": [ 96 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205" - } - }, - "CH0_FFC_PCIE_CT": { - "hide_name": 0, - "bits": [ 70 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:202" - } - }, - "CH0_FFC_PCIE_DET_EN": { - "hide_name": 0, - "bits": [ 68 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:202" - } - }, - "CH0_FFC_PFIFO_CLR": { - "hide_name": 0, - "bits": [ 82 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH0_FFC_RATE_MODE_RX": { - "hide_name": 0, - "bits": [ 84 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH0_FFC_RATE_MODE_TX": { - "hide_name": 0, - "bits": [ 86 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH0_FFC_RRST": { - "hide_name": 0, - "bits": [ 102 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:206" - } - }, - "CH0_FFC_RXPWDNB": { - "hide_name": 0, - "bits": [ 106 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:206" - } - }, - "CH0_FFC_RX_GEAR_MODE": { - "hide_name": 0, - "bits": [ 90 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH0_FFC_SB_INV_RX": { - "hide_name": 0, - "bits": [ 72 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:202" - } - }, - "CH0_FFC_SB_PFIFO_LP": { - "hide_name": 0, - "bits": [ 80 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203" - } - }, - "CH0_FFC_SIGNAL_DETECT": { - "hide_name": 0, - "bits": [ 76 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203" - } - }, - "CH0_FFC_TXPWDNB": { - "hide_name": 0, - "bits": [ 104 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:206" - } - }, - "CH0_FFC_TX_GEAR_MODE": { - "hide_name": 0, - "bits": [ 92 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH0_FFS_CC_OVERRUN": { - "hide_name": 0, - "bits": [ 241 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222" - } - }, - "CH0_FFS_CC_UNDERRUN": { - "hide_name": 0, - "bits": [ 239 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222" - } - }, - "CH0_FFS_LS_SYNC_STATUS": { - "hide_name": 0, - "bits": [ 237 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222" - } - }, - "CH0_FFS_PCIE_CON": { - "hide_name": 0, - "bits": [ 233 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221" - } - }, - "CH0_FFS_PCIE_DONE": { - "hide_name": 0, - "bits": [ 231 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221" - } - }, - "CH0_FFS_RLOL": { - "hide_name": 0, - "bits": [ 247 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:223" - } - }, - "CH0_FFS_RLOS": { - "hide_name": 0, - "bits": [ 235 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222" - } - }, - "CH0_FFS_RXFBFIFO_ERROR": { - "hide_name": 0, - "bits": [ 243 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:223" - } - }, - "CH0_FFS_SKP_ADDED": { - "hide_name": 0, - "bits": [ 249 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:223" - } - }, - "CH0_FFS_SKP_DELETED": { - "hide_name": 0, - "bits": [ 251 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:224" - } - }, - "CH0_FFS_TXFBFIFO_ERROR": { - "hide_name": 0, - "bits": [ 245 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:223" - } - }, - "CH0_FF_EBRD_CLK": { - "hide_name": 0, - "bits": [ 16 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195" - } - }, - "CH0_FF_RXI_CLK": { - "hide_name": 0, - "bits": [ 12 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195" - } - }, - "CH0_FF_RX_D_0": { - "hide_name": 0, - "bits": [ 183 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:215" - } - }, - "CH0_FF_RX_D_1": { - "hide_name": 0, - "bits": [ 185 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:215" - } - }, - "CH0_FF_RX_D_10": { - "hide_name": 0, - "bits": [ 203 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:218" - } - }, - "CH0_FF_RX_D_11": { - "hide_name": 0, - "bits": [ 205 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:218" - } - }, - "CH0_FF_RX_D_12": { - "hide_name": 0, - "bits": [ 207 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:218" - } - }, - "CH0_FF_RX_D_13": { - "hide_name": 0, - "bits": [ 209 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:218" - } - }, - "CH0_FF_RX_D_14": { - "hide_name": 0, - "bits": [ 211 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219" - } - }, - "CH0_FF_RX_D_15": { - "hide_name": 0, - "bits": [ 213 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219" - } - }, - "CH0_FF_RX_D_16": { - "hide_name": 0, - "bits": [ 215 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219" - } - }, - "CH0_FF_RX_D_17": { - "hide_name": 0, - "bits": [ 217 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219" - } - }, - "CH0_FF_RX_D_18": { - "hide_name": 0, - "bits": [ 219 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220" - } - }, - "CH0_FF_RX_D_19": { - "hide_name": 0, - "bits": [ 221 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220" - } - }, - "CH0_FF_RX_D_2": { - "hide_name": 0, - "bits": [ 187 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:216" - } - }, - "CH0_FF_RX_D_20": { - "hide_name": 0, - "bits": [ 223 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220" - } - }, - "CH0_FF_RX_D_21": { - "hide_name": 0, - "bits": [ 225 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220" - } - }, - "CH0_FF_RX_D_22": { - "hide_name": 0, - "bits": [ 227 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221" - } - }, - "CH0_FF_RX_D_23": { - "hide_name": 0, - "bits": [ 229 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221" - } - }, - "CH0_FF_RX_D_3": { - "hide_name": 0, - "bits": [ 189 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:216" - } - }, - "CH0_FF_RX_D_4": { - "hide_name": 0, - "bits": [ 191 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:216" - } - }, - "CH0_FF_RX_D_5": { - "hide_name": 0, - "bits": [ 193 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:216" - } - }, - "CH0_FF_RX_D_6": { - "hide_name": 0, - "bits": [ 195 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:217" - } - }, - "CH0_FF_RX_D_7": { - "hide_name": 0, - "bits": [ 197 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:217" - } - }, - "CH0_FF_RX_D_8": { - "hide_name": 0, - "bits": [ 199 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:217" - } - }, - "CH0_FF_RX_D_9": { - "hide_name": 0, - "bits": [ 201 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:217" - } - }, - "CH0_FF_RX_F_CLK": { - "hide_name": 0, - "bits": [ 171 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:214" - } - }, - "CH0_FF_RX_H_CLK": { - "hide_name": 0, - "bits": [ 173 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:214" - } - }, - "CH0_FF_RX_PCLK": { - "hide_name": 0, - "bits": [ 179 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:215" - } - }, - "CH0_FF_TXI_CLK": { - "hide_name": 0, - "bits": [ 14 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195" - } - }, - "CH0_FF_TX_D_0": { - "hide_name": 0, - "bits": [ 18 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196" - } - }, - "CH0_FF_TX_D_1": { - "hide_name": 0, - "bits": [ 20 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196" - } - }, - "CH0_FF_TX_D_10": { - "hide_name": 0, - "bits": [ 38 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:198" - } - }, - "CH0_FF_TX_D_11": { - "hide_name": 0, - "bits": [ 40 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:198" - } - }, - "CH0_FF_TX_D_12": { - "hide_name": 0, - "bits": [ 42 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:199" - } - }, - "CH0_FF_TX_D_13": { - "hide_name": 0, - "bits": [ 44 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:199" - } - }, - "CH0_FF_TX_D_14": { - "hide_name": 0, - "bits": [ 46 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:199" - } - }, - "CH0_FF_TX_D_15": { - "hide_name": 0, - "bits": [ 48 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:199" - } - }, - "CH0_FF_TX_D_16": { - "hide_name": 0, - "bits": [ 50 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:200" - } - }, - "CH0_FF_TX_D_17": { - "hide_name": 0, - "bits": [ 52 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:200" - } - }, - "CH0_FF_TX_D_18": { - "hide_name": 0, - "bits": [ 54 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:200" - } - }, - "CH0_FF_TX_D_19": { - "hide_name": 0, - "bits": [ 56 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:200" - } - }, - "CH0_FF_TX_D_2": { - "hide_name": 0, - "bits": [ 22 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196" - } - }, - "CH0_FF_TX_D_20": { - "hide_name": 0, - "bits": [ 58 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:201" - } - }, - "CH0_FF_TX_D_21": { - "hide_name": 0, - "bits": [ 60 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:201" - } - }, - "CH0_FF_TX_D_22": { - "hide_name": 0, - "bits": [ 62 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:201" - } - }, - "CH0_FF_TX_D_23": { - "hide_name": 0, - "bits": [ 64 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:201" - } - }, - "CH0_FF_TX_D_3": { - "hide_name": 0, - "bits": [ 24 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196" - } - }, - "CH0_FF_TX_D_4": { - "hide_name": 0, - "bits": [ 26 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197" - } - }, - "CH0_FF_TX_D_5": { - "hide_name": 0, - "bits": [ 28 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197" - } - }, - "CH0_FF_TX_D_6": { - "hide_name": 0, - "bits": [ 30 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197" - } - }, - "CH0_FF_TX_D_7": { - "hide_name": 0, - "bits": [ 32 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197" - } - }, - "CH0_FF_TX_D_8": { - "hide_name": 0, - "bits": [ 34 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:198" - } - }, - "CH0_FF_TX_D_9": { - "hide_name": 0, - "bits": [ 36 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:198" - } - }, - "CH0_FF_TX_F_CLK": { - "hide_name": 0, - "bits": [ 175 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:214" - } - }, - "CH0_FF_TX_H_CLK": { - "hide_name": 0, - "bits": [ 177 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:214" - } - }, - "CH0_FF_TX_PCLK": { - "hide_name": 0, - "bits": [ 181 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:215" - } - }, - "CH0_HDINN": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:193" - } - }, - "CH0_HDINP": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:193" - } - }, - "CH0_HDOUTN": { - "hide_name": 0, - "bits": [ 165 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213" - } - }, - "CH0_HDOUTP": { - "hide_name": 0, - "bits": [ 163 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213" - } - }, - "CH0_LDR_CORE2TX": { - "hide_name": 0, - "bits": [ 108 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:206" - } - }, - "CH0_LDR_RX2CORE": { - "hide_name": 0, - "bits": [ 253 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:224" - } - }, - "CH0_RX_REFCLK": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195" - } - }, - "CH0_SCIEN": { - "hide_name": 0, - "bits": [ 126 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:209" - } - }, - "CH0_SCISEL": { - "hide_name": 0, - "bits": [ 128 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:209" - } - }, - "CH1_FFC_CDR_EN_BITSLIP": { - "hide_name": 0, - "bits": [ 139 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:210" - } - }, - "CH1_FFC_DIV11_MODE_RX": { - "hide_name": 0, - "bits": [ 89 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH1_FFC_DIV11_MODE_TX": { - "hide_name": 0, - "bits": [ 95 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205" - } - }, - "CH1_FFC_EI_EN": { - "hide_name": 0, - "bits": [ 67 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:202" - } - }, - "CH1_FFC_ENABLE_CGALIGN": { - "hide_name": 0, - "bits": [ 75 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203" - } - }, - "CH1_FFC_FB_LOOPBACK": { - "hide_name": 0, - "bits": [ 79 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203" - } - }, - "CH1_FFC_LANE_RX_RST": { - "hide_name": 0, - "bits": [ 101 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205" - } - }, - "CH1_FFC_LANE_TX_RST": { - "hide_name": 0, - "bits": [ 99 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205" - } - }, - "CH1_FFC_LDR_CORE2TX_EN": { - "hide_name": 0, - "bits": [ 97 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205" - } - }, - "CH1_FFC_PCIE_CT": { - "hide_name": 0, - "bits": [ 71 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:202" - } - }, - "CH1_FFC_PCIE_DET_EN": { - "hide_name": 0, - "bits": [ 69 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:202" - } - }, - "CH1_FFC_PFIFO_CLR": { - "hide_name": 0, - "bits": [ 83 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH1_FFC_RATE_MODE_RX": { - "hide_name": 0, - "bits": [ 85 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH1_FFC_RATE_MODE_TX": { - "hide_name": 0, - "bits": [ 87 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH1_FFC_RRST": { - "hide_name": 0, - "bits": [ 103 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:206" - } - }, - "CH1_FFC_RXPWDNB": { - "hide_name": 0, - "bits": [ 107 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:206" - } - }, - "CH1_FFC_RX_GEAR_MODE": { - "hide_name": 0, - "bits": [ 91 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH1_FFC_SB_INV_RX": { - "hide_name": 0, - "bits": [ 73 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:202" - } - }, - "CH1_FFC_SB_PFIFO_LP": { - "hide_name": 0, - "bits": [ 81 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203" - } - }, - "CH1_FFC_SIGNAL_DETECT": { - "hide_name": 0, - "bits": [ 77 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203" - } - }, - "CH1_FFC_TXPWDNB": { - "hide_name": 0, - "bits": [ 105 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:206" - } - }, - "CH1_FFC_TX_GEAR_MODE": { - "hide_name": 0, - "bits": [ 93 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204" - } - }, - "CH1_FFS_CC_OVERRUN": { - "hide_name": 0, - "bits": [ 242 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222" - } - }, - "CH1_FFS_CC_UNDERRUN": { - "hide_name": 0, - "bits": [ 240 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222" - } - }, - "CH1_FFS_LS_SYNC_STATUS": { - "hide_name": 0, - "bits": [ 238 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222" - } - }, - "CH1_FFS_PCIE_CON": { - "hide_name": 0, - "bits": [ 234 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221" - } - }, - "CH1_FFS_PCIE_DONE": { - "hide_name": 0, - "bits": [ 232 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221" - } - }, - "CH1_FFS_RLOL": { - "hide_name": 0, - "bits": [ 248 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:223" - } - }, - "CH1_FFS_RLOS": { - "hide_name": 0, - "bits": [ 236 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222" - } - }, - "CH1_FFS_RXFBFIFO_ERROR": { - "hide_name": 0, - "bits": [ 244 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:223" - } - }, - "CH1_FFS_SKP_ADDED": { - "hide_name": 0, - "bits": [ 250 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:223" - } - }, - "CH1_FFS_SKP_DELETED": { - "hide_name": 0, - "bits": [ 252 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:224" - } - }, - "CH1_FFS_TXFBFIFO_ERROR": { - "hide_name": 0, - "bits": [ 246 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:223" - } - }, - "CH1_FF_EBRD_CLK": { - "hide_name": 0, - "bits": [ 17 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195" - } - }, - "CH1_FF_RXI_CLK": { - "hide_name": 0, - "bits": [ 13 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195" - } - }, - "CH1_FF_RX_D_0": { - "hide_name": 0, - "bits": [ 184 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:215" - } - }, - "CH1_FF_RX_D_1": { - "hide_name": 0, - "bits": [ 186 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:215" - } - }, - "CH1_FF_RX_D_10": { - "hide_name": 0, - "bits": [ 204 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:218" - } - }, - "CH1_FF_RX_D_11": { - "hide_name": 0, - "bits": [ 206 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:218" - } - }, - "CH1_FF_RX_D_12": { - "hide_name": 0, - "bits": [ 208 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:218" - } - }, - "CH1_FF_RX_D_13": { - "hide_name": 0, - "bits": [ 210 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:218" - } - }, - "CH1_FF_RX_D_14": { - "hide_name": 0, - "bits": [ 212 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219" - } - }, - "CH1_FF_RX_D_15": { - "hide_name": 0, - "bits": [ 214 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219" - } - }, - "CH1_FF_RX_D_16": { - "hide_name": 0, - "bits": [ 216 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219" - } - }, - "CH1_FF_RX_D_17": { - "hide_name": 0, - "bits": [ 218 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219" - } - }, - "CH1_FF_RX_D_18": { - "hide_name": 0, - "bits": [ 220 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220" - } - }, - "CH1_FF_RX_D_19": { - "hide_name": 0, - "bits": [ 222 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220" - } - }, - "CH1_FF_RX_D_2": { - "hide_name": 0, - "bits": [ 188 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:216" - } - }, - "CH1_FF_RX_D_20": { - "hide_name": 0, - "bits": [ 224 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220" - } - }, - "CH1_FF_RX_D_21": { - "hide_name": 0, - "bits": [ 226 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220" - } - }, - "CH1_FF_RX_D_22": { - "hide_name": 0, - "bits": [ 228 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221" - } - }, - "CH1_FF_RX_D_23": { - "hide_name": 0, - "bits": [ 230 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221" - } - }, - "CH1_FF_RX_D_3": { - "hide_name": 0, - "bits": [ 190 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:216" - } - }, - "CH1_FF_RX_D_4": { - "hide_name": 0, - "bits": [ 192 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:216" - } - }, - "CH1_FF_RX_D_5": { - "hide_name": 0, - "bits": [ 194 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:216" - } - }, - "CH1_FF_RX_D_6": { - "hide_name": 0, - "bits": [ 196 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:217" - } - }, - "CH1_FF_RX_D_7": { - "hide_name": 0, - "bits": [ 198 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:217" - } - }, - "CH1_FF_RX_D_8": { - "hide_name": 0, - "bits": [ 200 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:217" - } - }, - "CH1_FF_RX_D_9": { - "hide_name": 0, - "bits": [ 202 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:217" - } - }, - "CH1_FF_RX_F_CLK": { - "hide_name": 0, - "bits": [ 172 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:214" - } - }, - "CH1_FF_RX_H_CLK": { - "hide_name": 0, - "bits": [ 174 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:214" - } - }, - "CH1_FF_RX_PCLK": { - "hide_name": 0, - "bits": [ 180 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:215" - } - }, - "CH1_FF_TXI_CLK": { - "hide_name": 0, - "bits": [ 15 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195" - } - }, - "CH1_FF_TX_D_0": { - "hide_name": 0, - "bits": [ 19 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196" - } - }, - "CH1_FF_TX_D_1": { - "hide_name": 0, - "bits": [ 21 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196" - } - }, - "CH1_FF_TX_D_10": { - "hide_name": 0, - "bits": [ 39 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:198" - } - }, - "CH1_FF_TX_D_11": { - "hide_name": 0, - "bits": [ 41 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:198" - } - }, - "CH1_FF_TX_D_12": { - "hide_name": 0, - "bits": [ 43 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:199" - } - }, - "CH1_FF_TX_D_13": { - "hide_name": 0, - "bits": [ 45 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:199" - } - }, - "CH1_FF_TX_D_14": { - "hide_name": 0, - "bits": [ 47 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:199" - } - }, - "CH1_FF_TX_D_15": { - "hide_name": 0, - "bits": [ 49 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:199" - } - }, - "CH1_FF_TX_D_16": { - "hide_name": 0, - "bits": [ 51 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:200" - } - }, - "CH1_FF_TX_D_17": { - "hide_name": 0, - "bits": [ 53 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:200" - } - }, - "CH1_FF_TX_D_18": { - "hide_name": 0, - "bits": [ 55 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:200" - } - }, - "CH1_FF_TX_D_19": { - "hide_name": 0, - "bits": [ 57 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:200" - } - }, - "CH1_FF_TX_D_2": { - "hide_name": 0, - "bits": [ 23 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196" - } - }, - "CH1_FF_TX_D_20": { - "hide_name": 0, - "bits": [ 59 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:201" - } - }, - "CH1_FF_TX_D_21": { - "hide_name": 0, - "bits": [ 61 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:201" - } - }, - "CH1_FF_TX_D_22": { - "hide_name": 0, - "bits": [ 63 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:201" - } - }, - "CH1_FF_TX_D_23": { - "hide_name": 0, - "bits": [ 65 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:201" - } - }, - "CH1_FF_TX_D_3": { - "hide_name": 0, - "bits": [ 25 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196" - } - }, - "CH1_FF_TX_D_4": { - "hide_name": 0, - "bits": [ 27 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197" - } - }, - "CH1_FF_TX_D_5": { - "hide_name": 0, - "bits": [ 29 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197" - } - }, - "CH1_FF_TX_D_6": { - "hide_name": 0, - "bits": [ 31 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197" - } - }, - "CH1_FF_TX_D_7": { - "hide_name": 0, - "bits": [ 33 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197" - } - }, - "CH1_FF_TX_D_8": { - "hide_name": 0, - "bits": [ 35 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:198" - } - }, - "CH1_FF_TX_D_9": { - "hide_name": 0, - "bits": [ 37 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:198" - } - }, - "CH1_FF_TX_F_CLK": { - "hide_name": 0, - "bits": [ 176 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:214" - } - }, - "CH1_FF_TX_H_CLK": { - "hide_name": 0, - "bits": [ 178 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:214" - } - }, - "CH1_FF_TX_PCLK": { - "hide_name": 0, - "bits": [ 182 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:215" - } - }, - "CH1_HDINN": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:193" - } - }, - "CH1_HDINP": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:193" - } - }, - "CH1_HDOUTN": { - "hide_name": 0, - "bits": [ 166 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213" - } - }, - "CH1_HDOUTP": { - "hide_name": 0, - "bits": [ 164 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213" - } - }, - "CH1_LDR_CORE2TX": { - "hide_name": 0, - "bits": [ 109 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:206" - } - }, - "CH1_LDR_RX2CORE": { - "hide_name": 0, - "bits": [ 254 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:224" - } - }, - "CH1_RX_REFCLK": { - "hide_name": 0, - "bits": [ 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195" - } - }, - "CH1_SCIEN": { - "hide_name": 0, - "bits": [ 127 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:209" - } - }, - "CH1_SCISEL": { - "hide_name": 0, - "bits": [ 129 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:209" - } - }, - "D_CIN0": { - "hide_name": 0, - "bits": [ 151 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN1": { - "hide_name": 0, - "bits": [ 152 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN10": { - "hide_name": 0, - "bits": [ 161 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN11": { - "hide_name": 0, - "bits": [ 162 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN2": { - "hide_name": 0, - "bits": [ 153 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN3": { - "hide_name": 0, - "bits": [ 154 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN4": { - "hide_name": 0, - "bits": [ 155 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN5": { - "hide_name": 0, - "bits": [ 156 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN6": { - "hide_name": 0, - "bits": [ 157 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN7": { - "hide_name": 0, - "bits": [ 158 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN8": { - "hide_name": 0, - "bits": [ 159 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_CIN9": { - "hide_name": 0, - "bits": [ 160 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_COUT0": { - "hide_name": 0, - "bits": [ 272 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT1": { - "hide_name": 0, - "bits": [ 273 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT10": { - "hide_name": 0, - "bits": [ 282 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT11": { - "hide_name": 0, - "bits": [ 283 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT12": { - "hide_name": 0, - "bits": [ 284 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT13": { - "hide_name": 0, - "bits": [ 285 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT14": { - "hide_name": 0, - "bits": [ 286 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT15": { - "hide_name": 0, - "bits": [ 287 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT16": { - "hide_name": 0, - "bits": [ 288 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT17": { - "hide_name": 0, - "bits": [ 289 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT18": { - "hide_name": 0, - "bits": [ 290 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT19": { - "hide_name": 0, - "bits": [ 291 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT2": { - "hide_name": 0, - "bits": [ 274 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT3": { - "hide_name": 0, - "bits": [ 275 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT4": { - "hide_name": 0, - "bits": [ 276 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT5": { - "hide_name": 0, - "bits": [ 277 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT6": { - "hide_name": 0, - "bits": [ 278 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT7": { - "hide_name": 0, - "bits": [ 279 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT8": { - "hide_name": 0, - "bits": [ 280 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_COUT9": { - "hide_name": 0, - "bits": [ 281 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:226" - } - }, - "D_CYAWSTN": { - "hide_name": 0, - "bits": [ 132 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:209" - } - }, - "D_FFC_DUAL_RST": { - "hide_name": 0, - "bits": [ 134 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:210" - } - }, - "D_FFC_MACROPDB": { - "hide_name": 0, - "bits": [ 136 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:210" - } - }, - "D_FFC_MACRO_RST": { - "hide_name": 0, - "bits": [ 135 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:210" - } - }, - "D_FFC_SYNC_TOGGLE": { - "hide_name": 0, - "bits": [ 133 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:209" - } - }, - "D_FFC_TRST": { - "hide_name": 0, - "bits": [ 137 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:210" - } - }, - "D_FFS_PLOL": { - "hide_name": 0, - "bits": [ 293 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:229" - } - }, - "D_REFCLKI": { - "hide_name": 0, - "bits": [ 292 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:228" - } - }, - "D_SCAN_ENABLE": { - "hide_name": 0, - "bits": [ 140 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:210" - } - }, - "D_SCAN_IN_0": { - "hide_name": 0, - "bits": [ 141 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:210" - } - }, - "D_SCAN_IN_1": { - "hide_name": 0, - "bits": [ 142 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211" - } - }, - "D_SCAN_IN_2": { - "hide_name": 0, - "bits": [ 143 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211" - } - }, - "D_SCAN_IN_3": { - "hide_name": 0, - "bits": [ 144 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211" - } - }, - "D_SCAN_IN_4": { - "hide_name": 0, - "bits": [ 145 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211" - } - }, - "D_SCAN_IN_5": { - "hide_name": 0, - "bits": [ 146 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211" - } - }, - "D_SCAN_IN_6": { - "hide_name": 0, - "bits": [ 147 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211" - } - }, - "D_SCAN_IN_7": { - "hide_name": 0, - "bits": [ 148 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211" - } - }, - "D_SCAN_MODE": { - "hide_name": 0, - "bits": [ 149 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211" - } - }, - "D_SCAN_OUT_0": { - "hide_name": 0, - "bits": [ 264 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCAN_OUT_1": { - "hide_name": 0, - "bits": [ 265 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCAN_OUT_2": { - "hide_name": 0, - "bits": [ 266 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCAN_OUT_3": { - "hide_name": 0, - "bits": [ 267 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCAN_OUT_4": { - "hide_name": 0, - "bits": [ 268 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCAN_OUT_5": { - "hide_name": 0, - "bits": [ 269 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCAN_OUT_6": { - "hide_name": 0, - "bits": [ 270 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCAN_OUT_7": { - "hide_name": 0, - "bits": [ 271 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCAN_RESET": { - "hide_name": 0, - "bits": [ 150 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212" - } - }, - "D_SCIADDR0": { - "hide_name": 0, - "bits": [ 118 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:208" - } - }, - "D_SCIADDR1": { - "hide_name": 0, - "bits": [ 119 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:208" - } - }, - "D_SCIADDR2": { - "hide_name": 0, - "bits": [ 120 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:208" - } - }, - "D_SCIADDR3": { - "hide_name": 0, - "bits": [ 121 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:208" - } - }, - "D_SCIADDR4": { - "hide_name": 0, - "bits": [ 122 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:208" - } - }, - "D_SCIADDR5": { - "hide_name": 0, - "bits": [ 123 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:208" - } - }, - "D_SCIENAUX": { - "hide_name": 0, - "bits": [ 124 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:208" - } - }, - "D_SCIINT": { - "hide_name": 0, - "bits": [ 263 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCIRD": { - "hide_name": 0, - "bits": [ 130 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:209" - } - }, - "D_SCIRDATA0": { - "hide_name": 0, - "bits": [ 255 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:224" - } - }, - "D_SCIRDATA1": { - "hide_name": 0, - "bits": [ 256 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:224" - } - }, - "D_SCIRDATA2": { - "hide_name": 0, - "bits": [ 257 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:224" - } - }, - "D_SCIRDATA3": { - "hide_name": 0, - "bits": [ 258 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:224" - } - }, - "D_SCIRDATA4": { - "hide_name": 0, - "bits": [ 259 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCIRDATA5": { - "hide_name": 0, - "bits": [ 260 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCIRDATA6": { - "hide_name": 0, - "bits": [ 261 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCIRDATA7": { - "hide_name": 0, - "bits": [ 262 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:225" - } - }, - "D_SCISELAUX": { - "hide_name": 0, - "bits": [ 125 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:208" - } - }, - "D_SCIWDATA0": { - "hide_name": 0, - "bits": [ 110 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:207" - } - }, - "D_SCIWDATA1": { - "hide_name": 0, - "bits": [ 111 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:207" - } - }, - "D_SCIWDATA2": { - "hide_name": 0, - "bits": [ 112 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:207" - } - }, - "D_SCIWDATA3": { - "hide_name": 0, - "bits": [ 113 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:207" - } - }, - "D_SCIWDATA4": { - "hide_name": 0, - "bits": [ 114 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:207" - } - }, - "D_SCIWDATA5": { - "hide_name": 0, - "bits": [ 115 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:207" - } - }, - "D_SCIWDATA6": { - "hide_name": 0, - "bits": [ 116 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:207" - } - }, - "D_SCIWDATA7": { - "hide_name": 0, - "bits": [ 117 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:207" - } - }, - "D_SCIWSTN": { - "hide_name": 0, - "bits": [ 131 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:209" - } - }, - "D_SYNC_ND": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:194" - } - }, - "D_SYNC_PULSE2ND": { - "hide_name": 0, - "bits": [ 169 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213" - } - }, - "D_TXBIT_CLKN_FROM_ND": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:194" - } - }, - "D_TXBIT_CLKN_TO_ND": { - "hide_name": 0, - "bits": [ 168 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213" - } - }, - "D_TXBIT_CLKP_FROM_ND": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:194" - } - }, - "D_TXBIT_CLKP_TO_ND": { - "hide_name": 0, - "bits": [ 167 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213" - } - }, - "D_TXPLL_LOL_FROM_ND": { - "hide_name": 0, - "bits": [ 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:194" - } - }, - "D_TXPLL_LOL_TO_ND": { - "hide_name": 0, - "bits": [ 170 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213" - } - } - } - }, - "DP16KD": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:452" - }, - "ports": { - "DIA17": { - "direction": "input", - "bits": [ 2 ] - }, - "DIA16": { - "direction": "input", - "bits": [ 3 ] - }, - "DIA15": { - "direction": "input", - "bits": [ 4 ] - }, - "DIA14": { - "direction": "input", - "bits": [ 5 ] - }, - "DIA13": { - "direction": "input", - "bits": [ 6 ] - }, - "DIA12": { - "direction": "input", - "bits": [ 7 ] - }, - "DIA11": { - "direction": "input", - "bits": [ 8 ] - }, - "DIA10": { - "direction": "input", - "bits": [ 9 ] - }, - "DIA9": { - "direction": "input", - "bits": [ 10 ] - }, - "DIA8": { - "direction": "input", - "bits": [ 11 ] - }, - "DIA7": { - "direction": "input", - "bits": [ 12 ] - }, - "DIA6": { - "direction": "input", - "bits": [ 13 ] - }, - "DIA5": { - "direction": "input", - "bits": [ 14 ] - }, - "DIA4": { - "direction": "input", - "bits": [ 15 ] - }, - "DIA3": { - "direction": "input", - "bits": [ 16 ] - }, - "DIA2": { - "direction": "input", - "bits": [ 17 ] - }, - "DIA1": { - "direction": "input", - "bits": [ 18 ] - }, - "DIA0": { - "direction": "input", - "bits": [ 19 ] - }, - "ADA13": { - "direction": "input", - "bits": [ 20 ] - }, - "ADA12": { - "direction": "input", - "bits": [ 21 ] - }, - "ADA11": { - "direction": "input", - "bits": [ 22 ] - }, - "ADA10": { - "direction": "input", - "bits": [ 23 ] - }, - "ADA9": { - "direction": "input", - "bits": [ 24 ] - }, - "ADA8": { - "direction": "input", - "bits": [ 25 ] - }, - "ADA7": { - "direction": "input", - "bits": [ 26 ] - }, - "ADA6": { - "direction": "input", - "bits": [ 27 ] - }, - "ADA5": { - "direction": "input", - "bits": [ 28 ] - }, - "ADA4": { - "direction": "input", - "bits": [ 29 ] - }, - "ADA3": { - "direction": "input", - "bits": [ 30 ] - }, - "ADA2": { - "direction": "input", - "bits": [ 31 ] - }, - "ADA1": { - "direction": "input", - "bits": [ 32 ] - }, - "ADA0": { - "direction": "input", - "bits": [ 33 ] - }, - "CEA": { - "direction": "input", - "bits": [ 34 ] - }, - "OCEA": { - "direction": "input", - "bits": [ 35 ] - }, - "CLKA": { - "direction": "input", - "bits": [ 36 ] - }, - "WEA": { - "direction": "input", - "bits": [ 37 ] - }, - "RSTA": { - "direction": "input", - "bits": [ 38 ] - }, - "CSA2": { - "direction": "input", - "bits": [ 39 ] - }, - "CSA1": { - "direction": "input", - "bits": [ 40 ] - }, - "CSA0": { - "direction": "input", - "bits": [ 41 ] - }, - "DOA17": { - "direction": "output", - "bits": [ 42 ] - }, - "DOA16": { - "direction": "output", - "bits": [ 43 ] - }, - "DOA15": { - "direction": "output", - "bits": [ 44 ] - }, - "DOA14": { - "direction": "output", - "bits": [ 45 ] - }, - "DOA13": { - "direction": "output", - "bits": [ 46 ] - }, - "DOA12": { - "direction": "output", - "bits": [ 47 ] - }, - "DOA11": { - "direction": "output", - "bits": [ 48 ] - }, - "DOA10": { - "direction": "output", - "bits": [ 49 ] - }, - "DOA9": { - "direction": "output", - "bits": [ 50 ] - }, - "DOA8": { - "direction": "output", - "bits": [ 51 ] - }, - "DOA7": { - "direction": "output", - "bits": [ 52 ] - }, - "DOA6": { - "direction": "output", - "bits": [ 53 ] - }, - "DOA5": { - "direction": "output", - "bits": [ 54 ] - }, - "DOA4": { - "direction": "output", - "bits": [ 55 ] - }, - "DOA3": { - "direction": "output", - "bits": [ 56 ] - }, - "DOA2": { - "direction": "output", - "bits": [ 57 ] - }, - "DOA1": { - "direction": "output", - "bits": [ 58 ] - }, - "DOA0": { - "direction": "output", - "bits": [ 59 ] - }, - "DIB17": { - "direction": "input", - "bits": [ 60 ] - }, - "DIB16": { - "direction": "input", - "bits": [ 61 ] - }, - "DIB15": { - "direction": "input", - "bits": [ 62 ] - }, - "DIB14": { - "direction": "input", - "bits": [ 63 ] - }, - "DIB13": { - "direction": "input", - "bits": [ 64 ] - }, - "DIB12": { - "direction": "input", - "bits": [ 65 ] - }, - "DIB11": { - "direction": "input", - "bits": [ 66 ] - }, - "DIB10": { - "direction": "input", - "bits": [ 67 ] - }, - "DIB9": { - "direction": "input", - "bits": [ 68 ] - }, - "DIB8": { - "direction": "input", - "bits": [ 69 ] - }, - "DIB7": { - "direction": "input", - "bits": [ 70 ] - }, - "DIB6": { - "direction": "input", - "bits": [ 71 ] - }, - "DIB5": { - "direction": "input", - "bits": [ 72 ] - }, - "DIB4": { - "direction": "input", - "bits": [ 73 ] - }, - "DIB3": { - "direction": "input", - "bits": [ 74 ] - }, - "DIB2": { - "direction": "input", - "bits": [ 75 ] - }, - "DIB1": { - "direction": "input", - "bits": [ 76 ] - }, - "DIB0": { - "direction": "input", - "bits": [ 77 ] - }, - "ADB13": { - "direction": "input", - "bits": [ 78 ] - }, - "ADB12": { - "direction": "input", - "bits": [ 79 ] - }, - "ADB11": { - "direction": "input", - "bits": [ 80 ] - }, - "ADB10": { - "direction": "input", - "bits": [ 81 ] - }, - "ADB9": { - "direction": "input", - "bits": [ 82 ] - }, - "ADB8": { - "direction": "input", - "bits": [ 83 ] - }, - "ADB7": { - "direction": "input", - "bits": [ 84 ] - }, - "ADB6": { - "direction": "input", - "bits": [ 85 ] - }, - "ADB5": { - "direction": "input", - "bits": [ 86 ] - }, - "ADB4": { - "direction": "input", - "bits": [ 87 ] - }, - "ADB3": { - "direction": "input", - "bits": [ 88 ] - }, - "ADB2": { - "direction": "input", - "bits": [ 89 ] - }, - "ADB1": { - "direction": "input", - "bits": [ 90 ] - }, - "ADB0": { - "direction": "input", - "bits": [ 91 ] - }, - "CEB": { - "direction": "input", - "bits": [ 92 ] - }, - "OCEB": { - "direction": "input", - "bits": [ 93 ] - }, - "CLKB": { - "direction": "input", - "bits": [ 94 ] - }, - "WEB": { - "direction": "input", - "bits": [ 95 ] - }, - "RSTB": { - "direction": "input", - "bits": [ 96 ] - }, - "CSB2": { - "direction": "input", - "bits": [ 97 ] - }, - "CSB1": { - "direction": "input", - "bits": [ 98 ] - }, - "CSB0": { - "direction": "input", - "bits": [ 99 ] - }, - "DOB17": { - "direction": "output", - "bits": [ 100 ] - }, - "DOB16": { - "direction": "output", - "bits": [ 101 ] - }, - "DOB15": { - "direction": "output", - "bits": [ 102 ] - }, - "DOB14": { - "direction": "output", - "bits": [ 103 ] - }, - "DOB13": { - "direction": "output", - "bits": [ 104 ] - }, - "DOB12": { - "direction": "output", - "bits": [ 105 ] - }, - "DOB11": { - "direction": "output", - "bits": [ 106 ] - }, - "DOB10": { - "direction": "output", - "bits": [ 107 ] - }, - "DOB9": { - "direction": "output", - "bits": [ 108 ] - }, - "DOB8": { - "direction": "output", - "bits": [ 109 ] - }, - "DOB7": { - "direction": "output", - "bits": [ 110 ] - }, - "DOB6": { - "direction": "output", - "bits": [ 111 ] - }, - "DOB5": { - "direction": "output", - "bits": [ 112 ] - }, - "DOB4": { - "direction": "output", - "bits": [ 113 ] - }, - "DOB3": { - "direction": "output", - "bits": [ 114 ] - }, - "DOB2": { - "direction": "output", - "bits": [ 115 ] - }, - "DOB1": { - "direction": "output", - "bits": [ 116 ] - }, - "DOB0": { - "direction": "output", - "bits": [ 117 ] - } - }, - "cells": { - }, - "netnames": { - "ADA0": { - "hide_name": 0, - "bits": [ 33 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA1": { - "hide_name": 0, - "bits": [ 32 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA10": { - "hide_name": 0, - "bits": [ 23 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA11": { - "hide_name": 0, - "bits": [ 22 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA12": { - "hide_name": 0, - "bits": [ 21 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA13": { - "hide_name": 0, - "bits": [ 20 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA2": { - "hide_name": 0, - "bits": [ 31 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA3": { - "hide_name": 0, - "bits": [ 30 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA4": { - "hide_name": 0, - "bits": [ 29 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA5": { - "hide_name": 0, - "bits": [ 28 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA6": { - "hide_name": 0, - "bits": [ 27 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA7": { - "hide_name": 0, - "bits": [ 26 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA8": { - "hide_name": 0, - "bits": [ 25 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADA9": { - "hide_name": 0, - "bits": [ 24 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:454" - } - }, - "ADB0": { - "hide_name": 0, - "bits": [ 91 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB1": { - "hide_name": 0, - "bits": [ 90 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB10": { - "hide_name": 0, - "bits": [ 81 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB11": { - "hide_name": 0, - "bits": [ 80 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB12": { - "hide_name": 0, - "bits": [ 79 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB13": { - "hide_name": 0, - "bits": [ 78 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB2": { - "hide_name": 0, - "bits": [ 89 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB3": { - "hide_name": 0, - "bits": [ 88 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB4": { - "hide_name": 0, - "bits": [ 87 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB5": { - "hide_name": 0, - "bits": [ 86 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB6": { - "hide_name": 0, - "bits": [ 85 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB7": { - "hide_name": 0, - "bits": [ 84 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB8": { - "hide_name": 0, - "bits": [ 83 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "ADB9": { - "hide_name": 0, - "bits": [ 82 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:460" - } - }, - "CEA": { - "hide_name": 0, - "bits": [ 34 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:455" - } - }, - "CEB": { - "hide_name": 0, - "bits": [ 92 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:461" - } - }, - "CLKA": { - "hide_name": 0, - "bits": [ 36 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:455" - } - }, - "CLKB": { - "hide_name": 0, - "bits": [ 94 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:461" - } - }, - "CSA0": { - "hide_name": 0, - "bits": [ 41 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:456" - } - }, - "CSA1": { - "hide_name": 0, - "bits": [ 40 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:456" - } - }, - "CSA2": { - "hide_name": 0, - "bits": [ 39 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:456" - } - }, - "CSB0": { - "hide_name": 0, - "bits": [ 99 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:462" - } - }, - "CSB1": { - "hide_name": 0, - "bits": [ 98 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:462" - } - }, - "CSB2": { - "hide_name": 0, - "bits": [ 97 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:462" - } - }, - "DIA0": { - "hide_name": 0, - "bits": [ 19 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA1": { - "hide_name": 0, - "bits": [ 18 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA10": { - "hide_name": 0, - "bits": [ 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA11": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA12": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA13": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA14": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA15": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA16": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA17": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA2": { - "hide_name": 0, - "bits": [ 17 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA3": { - "hide_name": 0, - "bits": [ 16 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA4": { - "hide_name": 0, - "bits": [ 15 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA5": { - "hide_name": 0, - "bits": [ 14 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA6": { - "hide_name": 0, - "bits": [ 13 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA7": { - "hide_name": 0, - "bits": [ 12 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA8": { - "hide_name": 0, - "bits": [ 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIA9": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:453" - } - }, - "DIB0": { - "hide_name": 0, - "bits": [ 77 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB1": { - "hide_name": 0, - "bits": [ 76 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB10": { - "hide_name": 0, - "bits": [ 67 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB11": { - "hide_name": 0, - "bits": [ 66 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB12": { - "hide_name": 0, - "bits": [ 65 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB13": { - "hide_name": 0, - "bits": [ 64 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB14": { - "hide_name": 0, - "bits": [ 63 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB15": { - "hide_name": 0, - "bits": [ 62 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB16": { - "hide_name": 0, - "bits": [ 61 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB17": { - "hide_name": 0, - "bits": [ 60 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB2": { - "hide_name": 0, - "bits": [ 75 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB3": { - "hide_name": 0, - "bits": [ 74 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB4": { - "hide_name": 0, - "bits": [ 73 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB5": { - "hide_name": 0, - "bits": [ 72 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB6": { - "hide_name": 0, - "bits": [ 71 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB7": { - "hide_name": 0, - "bits": [ 70 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB8": { - "hide_name": 0, - "bits": [ 69 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DIB9": { - "hide_name": 0, - "bits": [ 68 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:459" - } - }, - "DOA0": { - "hide_name": 0, - "bits": [ 59 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA1": { - "hide_name": 0, - "bits": [ 58 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA10": { - "hide_name": 0, - "bits": [ 49 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA11": { - "hide_name": 0, - "bits": [ 48 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA12": { - "hide_name": 0, - "bits": [ 47 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA13": { - "hide_name": 0, - "bits": [ 46 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA14": { - "hide_name": 0, - "bits": [ 45 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA15": { - "hide_name": 0, - "bits": [ 44 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA16": { - "hide_name": 0, - "bits": [ 43 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA17": { - "hide_name": 0, - "bits": [ 42 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA2": { - "hide_name": 0, - "bits": [ 57 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA3": { - "hide_name": 0, - "bits": [ 56 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA4": { - "hide_name": 0, - "bits": [ 55 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA5": { - "hide_name": 0, - "bits": [ 54 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA6": { - "hide_name": 0, - "bits": [ 53 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA7": { - "hide_name": 0, - "bits": [ 52 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA8": { - "hide_name": 0, - "bits": [ 51 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOA9": { - "hide_name": 0, - "bits": [ 50 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:457" - } - }, - "DOB0": { - "hide_name": 0, - "bits": [ 117 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB1": { - "hide_name": 0, - "bits": [ 116 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB10": { - "hide_name": 0, - "bits": [ 107 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB11": { - "hide_name": 0, - "bits": [ 106 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB12": { - "hide_name": 0, - "bits": [ 105 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB13": { - "hide_name": 0, - "bits": [ 104 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB14": { - "hide_name": 0, - "bits": [ 103 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB15": { - "hide_name": 0, - "bits": [ 102 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB16": { - "hide_name": 0, - "bits": [ 101 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB17": { - "hide_name": 0, - "bits": [ 100 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB2": { - "hide_name": 0, - "bits": [ 115 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB3": { - "hide_name": 0, - "bits": [ 114 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB4": { - "hide_name": 0, - "bits": [ 113 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB5": { - "hide_name": 0, - "bits": [ 112 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB6": { - "hide_name": 0, - "bits": [ 111 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB7": { - "hide_name": 0, - "bits": [ 110 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB8": { - "hide_name": 0, - "bits": [ 109 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "DOB9": { - "hide_name": 0, - "bits": [ 108 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:463" - } - }, - "OCEA": { - "hide_name": 0, - "bits": [ 35 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:455" - } - }, - "OCEB": { - "hide_name": 0, - "bits": [ 93 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:461" - } - }, - "RSTA": { - "hide_name": 0, - "bits": [ 38 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:455" - } - }, - "RSTB": { - "hide_name": 0, - "bits": [ 96 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:461" - } - }, - "WEA": { - "hide_name": 0, - "bits": [ 37 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:455" - } - }, - "WEB": { - "hide_name": 0, - "bits": [ 95 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:461" - } - } - } - }, - "DPR16X4C": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:139" - }, - "ports": { - "DI": { - "direction": "input", - "bits": [ 2, 3, 4, 5 ] - }, - "WCK": { - "direction": "input", - "bits": [ 6 ] - }, - "WRE": { - "direction": "input", - "bits": [ 7 ] - }, - "RAD": { - "direction": "input", - "bits": [ 8, 9, 10, 11 ] - }, - "WAD": { - "direction": "input", - "bits": [ 12, 13, 14, 15 ] - }, - "DO": { - "direction": "output", - "bits": [ 16, 17, 18, 19 ] - } - }, - "cells": { - }, - "netnames": { - "DI": { - "hide_name": 0, - "bits": [ 2, 3, 4, 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:140" - } - }, - "DO": { - "hide_name": 0, - "bits": [ 16, 17, 18, 19 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:144" - } - }, - "RAD": { - "hide_name": 0, - "bits": [ 8, 9, 10, 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:142" - } - }, - "WAD": { - "hide_name": 0, - "bits": [ 12, 13, 14, 15 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:143" - } - }, - "WCK": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:141" - } - }, - "WRE": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:141" - } - } - } - }, - "DTR": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:146" - }, - "ports": { - "STARTPULSE": { - "direction": "input", - "bits": [ 2 ] - }, - "DTROUT7": { - "direction": "output", - "bits": [ 3 ] - }, - "DTROUT6": { - "direction": "output", - "bits": [ 4 ] - }, - "DTROUT5": { - "direction": "output", - "bits": [ 5 ] - }, - "DTROUT4": { - "direction": "output", - "bits": [ 6 ] - }, - "DTROUT3": { - "direction": "output", - "bits": [ 7 ] - }, - "DTROUT2": { - "direction": "output", - "bits": [ 8 ] - }, - "DTROUT1": { - "direction": "output", - "bits": [ 9 ] - }, - "DTROUT0": { - "direction": "output", - "bits": [ 10 ] - } - }, - "cells": { - }, - "netnames": { - "DTROUT0": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148" - } - }, - "DTROUT1": { - "hide_name": 0, - "bits": [ 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148" - } - }, - "DTROUT2": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148" - } - }, - "DTROUT3": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148" - } - }, - "DTROUT4": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148" - } - }, - "DTROUT5": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148" - } - }, - "DTROUT6": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148" - } - }, - "DTROUT7": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148" - } - }, - "STARTPULSE": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:147" - } - } - } - }, - "EHXPLLL": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:98" - }, - "ports": { - "CLKI": { - "direction": "input", - "bits": [ 2 ] - }, - "CLKFB": { - "direction": "input", - "bits": [ 3 ] - }, - "PHASESEL1": { - "direction": "input", - "bits": [ 4 ] - }, - "PHASESEL0": { - "direction": "input", - "bits": [ 5 ] - }, - "PHASEDIR": { - "direction": "input", - "bits": [ 6 ] - }, - "PHASESTEP": { - "direction": "input", - "bits": [ 7 ] - }, - "PHASELOADREG": { - "direction": "input", - "bits": [ 8 ] - }, - "STDBY": { - "direction": "input", - "bits": [ 9 ] - }, - "PLLWAKESYNC": { - "direction": "input", - "bits": [ 10 ] - }, - "RST": { - "direction": "input", - "bits": [ 11 ] - }, - "ENCLKOP": { - "direction": "input", - "bits": [ 12 ] - }, - "ENCLKOS": { - "direction": "input", - "bits": [ 13 ] - }, - "ENCLKOS2": { - "direction": "input", - "bits": [ 14 ] - }, - "ENCLKOS3": { - "direction": "input", - "bits": [ 15 ] - }, - "CLKOP": { - "direction": "output", - "bits": [ 16 ] - }, - "CLKOS": { - "direction": "output", - "bits": [ 17 ] - }, - "CLKOS2": { - "direction": "output", - "bits": [ 18 ] - }, - "CLKOS3": { - "direction": "output", - "bits": [ 19 ] - }, - "LOCK": { - "direction": "output", - "bits": [ 20 ] - }, - "INTLOCK": { - "direction": "output", - "bits": [ 21 ] - }, - "REFCLK": { - "direction": "output", - "bits": [ 22 ] - }, - "CLKINTFB": { - "direction": "output", - "bits": [ 23 ] - } - }, - "cells": { - }, - "netnames": { - "CLKFB": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:99" - } - }, - "CLKI": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:99" - } - }, - "CLKINTFB": { - "hide_name": 0, - "bits": [ 23 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:105" - } - }, - "CLKOP": { - "hide_name": 0, - "bits": [ 16 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103" - } - }, - "CLKOS": { - "hide_name": 0, - "bits": [ 17 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103" - } - }, - "CLKOS2": { - "hide_name": 0, - "bits": [ 18 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103" - } - }, - "CLKOS3": { - "hide_name": 0, - "bits": [ 19 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103" - } - }, - "ENCLKOP": { - "hide_name": 0, - "bits": [ 12 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102" - } - }, - "ENCLKOS": { - "hide_name": 0, - "bits": [ 13 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102" - } - }, - "ENCLKOS2": { - "hide_name": 0, - "bits": [ 14 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102" - } - }, - "ENCLKOS3": { - "hide_name": 0, - "bits": [ 15 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102" - } - }, - "INTLOCK": { - "hide_name": 0, - "bits": [ 21 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:104" - } - }, - "LOCK": { - "hide_name": 0, - "bits": [ 20 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:104" - } - }, - "PHASEDIR": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100" - } - }, - "PHASELOADREG": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100" - } - }, - "PHASESEL0": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100" - } - }, - "PHASESEL1": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100" - } - }, - "PHASESTEP": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100" - } - }, - "PLLWAKESYNC": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:101" - } - }, - "REFCLK": { - "hide_name": 0, - "bits": [ 22 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:105" - } - }, - "RST": { - "hide_name": 0, - "bits": [ 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102" - } - }, - "STDBY": { - "hide_name": 0, - "bits": [ 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:101" - } - } - } - }, - "EXTREFB": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:499" - }, - "ports": { - "REFCLKP": { - "direction": "input", - "bits": [ 2 ] - }, - "REFCLKN": { - "direction": "input", - "bits": [ 3 ] - }, - "REFCLKO": { - "direction": "output", - "bits": [ 4 ] - } - }, - "cells": { - }, - "netnames": { - "REFCLKN": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:500" - } - }, - "REFCLKO": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:501" - } - }, - "REFCLKP": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:500" - } - } - } - }, - "IB": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:255" - }, - "ports": { - "I": { - "direction": "input", - "bits": [ 2 ] - }, - "O": { - "direction": "output", - "bits": [ 3 ] - } - }, - "cells": { - }, - "netnames": { - "I": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:255" - } - }, - "O": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:255" - } - } - } - }, - "IDDRX1F": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:160" - }, - "ports": { - "D": { - "direction": "input", - "bits": [ 2 ] - }, - "SCLK": { - "direction": "input", - "bits": [ 3 ] - }, - "RST": { - "direction": "input", - "bits": [ 4 ] - }, - "Q0": { - "direction": "output", - "bits": [ 5 ] - }, - "Q1": { - "direction": "output", - "bits": [ 6 ] - } - }, - "cells": { - }, - "netnames": { - "D": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:161" - } - }, - "Q0": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:162" - } - }, - "Q1": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:162" - } - }, - "RST": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:161" - } - }, - "SCLK": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:161" - } - } - } - }, - "INV": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:303" - }, - "ports": { - "A": { - "direction": "input", - "bits": [ 2 ] - }, - "Z": { - "direction": "output", - "bits": [ 3 ] - } - }, - "cells": { - }, - "netnames": { - "A": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:303" - } - }, - "Z": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:303" - } - } - } - }, - "L6MUX21": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13" - }, - "ports": { - "D0": { - "direction": "input", - "bits": [ 2 ] - }, - "D1": { - "direction": "input", - "bits": [ 3 ] - }, - "SD": { - "direction": "input", - "bits": [ 4 ] - }, - "Z": { - "direction": "output", - "bits": [ 5 ] - } - }, - "cells": { - }, - "netnames": { - "D0": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13" - } - }, - "D1": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13" - } - }, - "SD": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13" - } - }, - "Z": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13" - } - } - } - }, - "LUT2": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:198" - }, - "ports": { - "A": { - "direction": "input", - "bits": [ 2 ] - }, - "B": { - "direction": "input", - "bits": [ 3 ] - }, - "Z": { - "direction": "output", - "bits": [ 4 ] - } - }, - "cells": { - }, - "netnames": { - "A": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:198" - } - }, - "B": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:198" - } - }, - "Z": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:198" - } - } - } - }, - "LUT4": { - "attributes": { - "blackbox": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3" - }, - "ports": { - "A": { - "direction": "input", - "bits": [ 2 ] - }, - "B": { - "direction": "input", - "bits": [ 3 ] - }, - "C": { - "direction": "input", - "bits": [ 4 ] - }, - "D": { - "direction": "input", - "bits": [ 5 ] - }, - "Z": { - "direction": "output", - "bits": [ 6 ] - } - }, - "cells": { - }, - "netnames": { - "A": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3" - } - }, - "B": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3" - } - }, - "C": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3" - } - }, - "D": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3" - } - }, - "Z": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3" - } - } - } - }, - "MULT18X18D": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:5" - }, - "ports": { - "A0": { - "direction": "input", - "bits": [ 2 ] - }, - "A1": { - "direction": "input", - "bits": [ 3 ] - }, - "A2": { - "direction": "input", - "bits": [ 4 ] - }, - "A3": { - "direction": "input", - "bits": [ 5 ] - }, - "A4": { - "direction": "input", - "bits": [ 6 ] - }, - "A5": { - "direction": "input", - "bits": [ 7 ] - }, - "A6": { - "direction": "input", - "bits": [ 8 ] - }, - "A7": { - "direction": "input", - "bits": [ 9 ] - }, - "A8": { - "direction": "input", - "bits": [ 10 ] - }, - "A9": { - "direction": "input", - "bits": [ 11 ] - }, - "A10": { - "direction": "input", - "bits": [ 12 ] - }, - "A11": { - "direction": "input", - "bits": [ 13 ] - }, - "A12": { - "direction": "input", - "bits": [ 14 ] - }, - "A13": { - "direction": "input", - "bits": [ 15 ] - }, - "A14": { - "direction": "input", - "bits": [ 16 ] - }, - "A15": { - "direction": "input", - "bits": [ 17 ] - }, - "A16": { - "direction": "input", - "bits": [ 18 ] - }, - "A17": { - "direction": "input", - "bits": [ 19 ] - }, - "B0": { - "direction": "input", - "bits": [ 20 ] - }, - "B1": { - "direction": "input", - "bits": [ 21 ] - }, - "B2": { - "direction": "input", - "bits": [ 22 ] - }, - "B3": { - "direction": "input", - "bits": [ 23 ] - }, - "B4": { - "direction": "input", - "bits": [ 24 ] - }, - "B5": { - "direction": "input", - "bits": [ 25 ] - }, - "B6": { - "direction": "input", - "bits": [ 26 ] - }, - "B7": { - "direction": "input", - "bits": [ 27 ] - }, - "B8": { - "direction": "input", - "bits": [ 28 ] - }, - "B9": { - "direction": "input", - "bits": [ 29 ] - }, - "B10": { - "direction": "input", - "bits": [ 30 ] - }, - "B11": { - "direction": "input", - "bits": [ 31 ] - }, - "B12": { - "direction": "input", - "bits": [ 32 ] - }, - "B13": { - "direction": "input", - "bits": [ 33 ] - }, - "B14": { - "direction": "input", - "bits": [ 34 ] - }, - "B15": { - "direction": "input", - "bits": [ 35 ] - }, - "B16": { - "direction": "input", - "bits": [ 36 ] - }, - "B17": { - "direction": "input", - "bits": [ 37 ] - }, - "C0": { - "direction": "input", - "bits": [ 38 ] - }, - "C1": { - "direction": "input", - "bits": [ 39 ] - }, - "C2": { - "direction": "input", - "bits": [ 40 ] - }, - "C3": { - "direction": "input", - "bits": [ 41 ] - }, - "C4": { - "direction": "input", - "bits": [ 42 ] - }, - "C5": { - "direction": "input", - "bits": [ 43 ] - }, - "C6": { - "direction": "input", - "bits": [ 44 ] - }, - "C7": { - "direction": "input", - "bits": [ 45 ] - }, - "C8": { - "direction": "input", - "bits": [ 46 ] - }, - "C9": { - "direction": "input", - "bits": [ 47 ] - }, - "C10": { - "direction": "input", - "bits": [ 48 ] - }, - "C11": { - "direction": "input", - "bits": [ 49 ] - }, - "C12": { - "direction": "input", - "bits": [ 50 ] - }, - "C13": { - "direction": "input", - "bits": [ 51 ] - }, - "C14": { - "direction": "input", - "bits": [ 52 ] - }, - "C15": { - "direction": "input", - "bits": [ 53 ] - }, - "C16": { - "direction": "input", - "bits": [ 54 ] - }, - "C17": { - "direction": "input", - "bits": [ 55 ] - }, - "SIGNEDA": { - "direction": "input", - "bits": [ 56 ] - }, - "SIGNEDB": { - "direction": "input", - "bits": [ 57 ] - }, - "SOURCEA": { - "direction": "input", - "bits": [ 58 ] - }, - "SOURCEB": { - "direction": "input", - "bits": [ 59 ] - }, - "CLK0": { - "direction": "input", - "bits": [ 60 ] - }, - "CLK1": { - "direction": "input", - "bits": [ 61 ] - }, - "CLK2": { - "direction": "input", - "bits": [ 62 ] - }, - "CLK3": { - "direction": "input", - "bits": [ 63 ] - }, - "CE0": { - "direction": "input", - "bits": [ 64 ] - }, - "CE1": { - "direction": "input", - "bits": [ 65 ] - }, - "CE2": { - "direction": "input", - "bits": [ 66 ] - }, - "CE3": { - "direction": "input", - "bits": [ 67 ] - }, - "RST0": { - "direction": "input", - "bits": [ 68 ] - }, - "RST1": { - "direction": "input", - "bits": [ 69 ] - }, - "RST2": { - "direction": "input", - "bits": [ 70 ] - }, - "RST3": { - "direction": "input", - "bits": [ 71 ] - }, - "SRIA0": { - "direction": "input", - "bits": [ 72 ] - }, - "SRIA1": { - "direction": "input", - "bits": [ 73 ] - }, - "SRIA2": { - "direction": "input", - "bits": [ 74 ] - }, - "SRIA3": { - "direction": "input", - "bits": [ 75 ] - }, - "SRIA4": { - "direction": "input", - "bits": [ 76 ] - }, - "SRIA5": { - "direction": "input", - "bits": [ 77 ] - }, - "SRIA6": { - "direction": "input", - "bits": [ 78 ] - }, - "SRIA7": { - "direction": "input", - "bits": [ 79 ] - }, - "SRIA8": { - "direction": "input", - "bits": [ 80 ] - }, - "SRIA9": { - "direction": "input", - "bits": [ 81 ] - }, - "SRIA10": { - "direction": "input", - "bits": [ 82 ] - }, - "SRIA11": { - "direction": "input", - "bits": [ 83 ] - }, - "SRIA12": { - "direction": "input", - "bits": [ 84 ] - }, - "SRIA13": { - "direction": "input", - "bits": [ 85 ] - }, - "SRIA14": { - "direction": "input", - "bits": [ 86 ] - }, - "SRIA15": { - "direction": "input", - "bits": [ 87 ] - }, - "SRIA16": { - "direction": "input", - "bits": [ 88 ] - }, - "SRIA17": { - "direction": "input", - "bits": [ 89 ] - }, - "SRIB0": { - "direction": "input", - "bits": [ 90 ] - }, - "SRIB1": { - "direction": "input", - "bits": [ 91 ] - }, - "SRIB2": { - "direction": "input", - "bits": [ 92 ] - }, - "SRIB3": { - "direction": "input", - "bits": [ 93 ] - }, - "SRIB4": { - "direction": "input", - "bits": [ 94 ] - }, - "SRIB5": { - "direction": "input", - "bits": [ 95 ] - }, - "SRIB6": { - "direction": "input", - "bits": [ 96 ] - }, - "SRIB7": { - "direction": "input", - "bits": [ 97 ] - }, - "SRIB8": { - "direction": "input", - "bits": [ 98 ] - }, - "SRIB9": { - "direction": "input", - "bits": [ 99 ] - }, - "SRIB10": { - "direction": "input", - "bits": [ 100 ] - }, - "SRIB11": { - "direction": "input", - "bits": [ 101 ] - }, - "SRIB12": { - "direction": "input", - "bits": [ 102 ] - }, - "SRIB13": { - "direction": "input", - "bits": [ 103 ] - }, - "SRIB14": { - "direction": "input", - "bits": [ 104 ] - }, - "SRIB15": { - "direction": "input", - "bits": [ 105 ] - }, - "SRIB16": { - "direction": "input", - "bits": [ 106 ] - }, - "SRIB17": { - "direction": "input", - "bits": [ 107 ] - }, - "SROA0": { - "direction": "output", - "bits": [ 108 ] - }, - "SROA1": { - "direction": "output", - "bits": [ 109 ] - }, - "SROA2": { - "direction": "output", - "bits": [ 110 ] - }, - "SROA3": { - "direction": "output", - "bits": [ 111 ] - }, - "SROA4": { - "direction": "output", - "bits": [ 112 ] - }, - "SROA5": { - "direction": "output", - "bits": [ 113 ] - }, - "SROA6": { - "direction": "output", - "bits": [ 114 ] - }, - "SROA7": { - "direction": "output", - "bits": [ 115 ] - }, - "SROA8": { - "direction": "output", - "bits": [ 116 ] - }, - "SROA9": { - "direction": "output", - "bits": [ 117 ] - }, - "SROA10": { - "direction": "output", - "bits": [ 118 ] - }, - "SROA11": { - "direction": "output", - "bits": [ 119 ] - }, - "SROA12": { - "direction": "output", - "bits": [ 120 ] - }, - "SROA13": { - "direction": "output", - "bits": [ 121 ] - }, - "SROA14": { - "direction": "output", - "bits": [ 122 ] - }, - "SROA15": { - "direction": "output", - "bits": [ 123 ] - }, - "SROA16": { - "direction": "output", - "bits": [ 124 ] - }, - "SROA17": { - "direction": "output", - "bits": [ 125 ] - }, - "SROB0": { - "direction": "output", - "bits": [ 126 ] - }, - "SROB1": { - "direction": "output", - "bits": [ 127 ] - }, - "SROB2": { - "direction": "output", - "bits": [ 128 ] - }, - "SROB3": { - "direction": "output", - "bits": [ 129 ] - }, - "SROB4": { - "direction": "output", - "bits": [ 130 ] - }, - "SROB5": { - "direction": "output", - "bits": [ 131 ] - }, - "SROB6": { - "direction": "output", - "bits": [ 132 ] - }, - "SROB7": { - "direction": "output", - "bits": [ 133 ] - }, - "SROB8": { - "direction": "output", - "bits": [ 134 ] - }, - "SROB9": { - "direction": "output", - "bits": [ 135 ] - }, - "SROB10": { - "direction": "output", - "bits": [ 136 ] - }, - "SROB11": { - "direction": "output", - "bits": [ 137 ] - }, - "SROB12": { - "direction": "output", - "bits": [ 138 ] - }, - "SROB13": { - "direction": "output", - "bits": [ 139 ] - }, - "SROB14": { - "direction": "output", - "bits": [ 140 ] - }, - "SROB15": { - "direction": "output", - "bits": [ 141 ] - }, - "SROB16": { - "direction": "output", - "bits": [ 142 ] - }, - "SROB17": { - "direction": "output", - "bits": [ 143 ] - }, - "ROA0": { - "direction": "output", - "bits": [ 144 ] - }, - "ROA1": { - "direction": "output", - "bits": [ 145 ] - }, - "ROA2": { - "direction": "output", - "bits": [ 146 ] - }, - "ROA3": { - "direction": "output", - "bits": [ 147 ] - }, - "ROA4": { - "direction": "output", - "bits": [ 148 ] - }, - "ROA5": { - "direction": "output", - "bits": [ 149 ] - }, - "ROA6": { - "direction": "output", - "bits": [ 150 ] - }, - "ROA7": { - "direction": "output", - "bits": [ 151 ] - }, - "ROA8": { - "direction": "output", - "bits": [ 152 ] - }, - "ROA9": { - "direction": "output", - "bits": [ 153 ] - }, - "ROA10": { - "direction": "output", - "bits": [ 154 ] - }, - "ROA11": { - "direction": "output", - "bits": [ 155 ] - }, - "ROA12": { - "direction": "output", - "bits": [ 156 ] - }, - "ROA13": { - "direction": "output", - "bits": [ 157 ] - }, - "ROA14": { - "direction": "output", - "bits": [ 158 ] - }, - "ROA15": { - "direction": "output", - "bits": [ 159 ] - }, - "ROA16": { - "direction": "output", - "bits": [ 160 ] - }, - "ROA17": { - "direction": "output", - "bits": [ 161 ] - }, - "ROB0": { - "direction": "output", - "bits": [ 162 ] - }, - "ROB1": { - "direction": "output", - "bits": [ 163 ] - }, - "ROB2": { - "direction": "output", - "bits": [ 164 ] - }, - "ROB3": { - "direction": "output", - "bits": [ 165 ] - }, - "ROB4": { - "direction": "output", - "bits": [ 166 ] - }, - "ROB5": { - "direction": "output", - "bits": [ 167 ] - }, - "ROB6": { - "direction": "output", - "bits": [ 168 ] - }, - "ROB7": { - "direction": "output", - "bits": [ 169 ] - }, - "ROB8": { - "direction": "output", - "bits": [ 170 ] - }, - "ROB9": { - "direction": "output", - "bits": [ 171 ] - }, - "ROB10": { - "direction": "output", - "bits": [ 172 ] - }, - "ROB11": { - "direction": "output", - "bits": [ 173 ] - }, - "ROB12": { - "direction": "output", - "bits": [ 174 ] - }, - "ROB13": { - "direction": "output", - "bits": [ 175 ] - }, - "ROB14": { - "direction": "output", - "bits": [ 176 ] - }, - "ROB15": { - "direction": "output", - "bits": [ 177 ] - }, - "ROB16": { - "direction": "output", - "bits": [ 178 ] - }, - "ROB17": { - "direction": "output", - "bits": [ 179 ] - }, - "ROC0": { - "direction": "output", - "bits": [ 180 ] - }, - "ROC1": { - "direction": "output", - "bits": [ 181 ] - }, - "ROC2": { - "direction": "output", - "bits": [ 182 ] - }, - "ROC3": { - "direction": "output", - "bits": [ 183 ] - }, - "ROC4": { - "direction": "output", - "bits": [ 184 ] - }, - "ROC5": { - "direction": "output", - "bits": [ 185 ] - }, - "ROC6": { - "direction": "output", - "bits": [ 186 ] - }, - "ROC7": { - "direction": "output", - "bits": [ 187 ] - }, - "ROC8": { - "direction": "output", - "bits": [ 188 ] - }, - "ROC9": { - "direction": "output", - "bits": [ 189 ] - }, - "ROC10": { - "direction": "output", - "bits": [ 190 ] - }, - "ROC11": { - "direction": "output", - "bits": [ 191 ] - }, - "ROC12": { - "direction": "output", - "bits": [ 192 ] - }, - "ROC13": { - "direction": "output", - "bits": [ 193 ] - }, - "ROC14": { - "direction": "output", - "bits": [ 194 ] - }, - "ROC15": { - "direction": "output", - "bits": [ 195 ] - }, - "ROC16": { - "direction": "output", - "bits": [ 196 ] - }, - "ROC17": { - "direction": "output", - "bits": [ 197 ] - }, - "P0": { - "direction": "output", - "bits": [ 198 ] - }, - "P1": { - "direction": "output", - "bits": [ 199 ] - }, - "P2": { - "direction": "output", - "bits": [ 200 ] - }, - "P3": { - "direction": "output", - "bits": [ 201 ] - }, - "P4": { - "direction": "output", - "bits": [ 202 ] - }, - "P5": { - "direction": "output", - "bits": [ 203 ] - }, - "P6": { - "direction": "output", - "bits": [ 204 ] - }, - "P7": { - "direction": "output", - "bits": [ 205 ] - }, - "P8": { - "direction": "output", - "bits": [ 206 ] - }, - "P9": { - "direction": "output", - "bits": [ 207 ] - }, - "P10": { - "direction": "output", - "bits": [ 208 ] - }, - "P11": { - "direction": "output", - "bits": [ 209 ] - }, - "P12": { - "direction": "output", - "bits": [ 210 ] - }, - "P13": { - "direction": "output", - "bits": [ 211 ] - }, - "P14": { - "direction": "output", - "bits": [ 212 ] - }, - "P15": { - "direction": "output", - "bits": [ 213 ] - }, - "P16": { - "direction": "output", - "bits": [ 214 ] - }, - "P17": { - "direction": "output", - "bits": [ 215 ] - }, - "P18": { - "direction": "output", - "bits": [ 216 ] - }, - "P19": { - "direction": "output", - "bits": [ 217 ] - }, - "P20": { - "direction": "output", - "bits": [ 218 ] - }, - "P21": { - "direction": "output", - "bits": [ 219 ] - }, - "P22": { - "direction": "output", - "bits": [ 220 ] - }, - "P23": { - "direction": "output", - "bits": [ 221 ] - }, - "P24": { - "direction": "output", - "bits": [ 222 ] - }, - "P25": { - "direction": "output", - "bits": [ 223 ] - }, - "P26": { - "direction": "output", - "bits": [ 224 ] - }, - "P27": { - "direction": "output", - "bits": [ 225 ] - }, - "P28": { - "direction": "output", - "bits": [ 226 ] - }, - "P29": { - "direction": "output", - "bits": [ 227 ] - }, - "P30": { - "direction": "output", - "bits": [ 228 ] - }, - "P31": { - "direction": "output", - "bits": [ 229 ] - }, - "P32": { - "direction": "output", - "bits": [ 230 ] - }, - "P33": { - "direction": "output", - "bits": [ 231 ] - }, - "P34": { - "direction": "output", - "bits": [ 232 ] - }, - "P35": { - "direction": "output", - "bits": [ 233 ] - }, - "SIGNEDP": { - "direction": "output", - "bits": [ 234 ] - } - }, - "cells": { - }, - "netnames": { - "A0": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A1": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A10": { - "hide_name": 0, - "bits": [ 12 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A11": { - "hide_name": 0, - "bits": [ 13 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A12": { - "hide_name": 0, - "bits": [ 14 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A13": { - "hide_name": 0, - "bits": [ 15 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A14": { - "hide_name": 0, - "bits": [ 16 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A15": { - "hide_name": 0, - "bits": [ 17 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A16": { - "hide_name": 0, - "bits": [ 18 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A17": { - "hide_name": 0, - "bits": [ 19 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A2": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A3": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A4": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A5": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A6": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A7": { - "hide_name": 0, - "bits": [ 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A8": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "A9": { - "hide_name": 0, - "bits": [ 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6" - } - }, - "B0": { - "hide_name": 0, - "bits": [ 20 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B1": { - "hide_name": 0, - "bits": [ 21 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B10": { - "hide_name": 0, - "bits": [ 30 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B11": { - "hide_name": 0, - "bits": [ 31 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B12": { - "hide_name": 0, - "bits": [ 32 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B13": { - "hide_name": 0, - "bits": [ 33 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B14": { - "hide_name": 0, - "bits": [ 34 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B15": { - "hide_name": 0, - "bits": [ 35 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B16": { - "hide_name": 0, - "bits": [ 36 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B17": { - "hide_name": 0, - "bits": [ 37 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B2": { - "hide_name": 0, - "bits": [ 22 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B3": { - "hide_name": 0, - "bits": [ 23 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B4": { - "hide_name": 0, - "bits": [ 24 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B5": { - "hide_name": 0, - "bits": [ 25 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B6": { - "hide_name": 0, - "bits": [ 26 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B7": { - "hide_name": 0, - "bits": [ 27 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B8": { - "hide_name": 0, - "bits": [ 28 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "B9": { - "hide_name": 0, - "bits": [ 29 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7" - } - }, - "C0": { - "hide_name": 0, - "bits": [ 38 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C1": { - "hide_name": 0, - "bits": [ 39 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C10": { - "hide_name": 0, - "bits": [ 48 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C11": { - "hide_name": 0, - "bits": [ 49 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C12": { - "hide_name": 0, - "bits": [ 50 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C13": { - "hide_name": 0, - "bits": [ 51 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C14": { - "hide_name": 0, - "bits": [ 52 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C15": { - "hide_name": 0, - "bits": [ 53 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C16": { - "hide_name": 0, - "bits": [ 54 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C17": { - "hide_name": 0, - "bits": [ 55 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C2": { - "hide_name": 0, - "bits": [ 40 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C3": { - "hide_name": 0, - "bits": [ 41 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C4": { - "hide_name": 0, - "bits": [ 42 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C5": { - "hide_name": 0, - "bits": [ 43 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C6": { - "hide_name": 0, - "bits": [ 44 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C7": { - "hide_name": 0, - "bits": [ 45 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C8": { - "hide_name": 0, - "bits": [ 46 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "C9": { - "hide_name": 0, - "bits": [ 47 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8" - } - }, - "CE0": { - "hide_name": 0, - "bits": [ 64 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11" - } - }, - "CE1": { - "hide_name": 0, - "bits": [ 65 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11" - } - }, - "CE2": { - "hide_name": 0, - "bits": [ 66 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11" - } - }, - "CE3": { - "hide_name": 0, - "bits": [ 67 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11" - } - }, - "CLK0": { - "hide_name": 0, - "bits": [ 60 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10" - } - }, - "CLK1": { - "hide_name": 0, - "bits": [ 61 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10" - } - }, - "CLK2": { - "hide_name": 0, - "bits": [ 62 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10" - } - }, - "CLK3": { - "hide_name": 0, - "bits": [ 63 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10" - } - }, - "P0": { - "hide_name": 0, - "bits": [ 198 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P1": { - "hide_name": 0, - "bits": [ 199 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P10": { - "hide_name": 0, - "bits": [ 208 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P11": { - "hide_name": 0, - "bits": [ 209 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P12": { - "hide_name": 0, - "bits": [ 210 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P13": { - "hide_name": 0, - "bits": [ 211 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P14": { - "hide_name": 0, - "bits": [ 212 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P15": { - "hide_name": 0, - "bits": [ 213 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P16": { - "hide_name": 0, - "bits": [ 214 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P17": { - "hide_name": 0, - "bits": [ 215 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P18": { - "hide_name": 0, - "bits": [ 216 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P19": { - "hide_name": 0, - "bits": [ 217 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P2": { - "hide_name": 0, - "bits": [ 200 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P20": { - "hide_name": 0, - "bits": [ 218 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P21": { - "hide_name": 0, - "bits": [ 219 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P22": { - "hide_name": 0, - "bits": [ 220 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P23": { - "hide_name": 0, - "bits": [ 221 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P24": { - "hide_name": 0, - "bits": [ 222 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P25": { - "hide_name": 0, - "bits": [ 223 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P26": { - "hide_name": 0, - "bits": [ 224 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P27": { - "hide_name": 0, - "bits": [ 225 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P28": { - "hide_name": 0, - "bits": [ 226 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P29": { - "hide_name": 0, - "bits": [ 227 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P3": { - "hide_name": 0, - "bits": [ 201 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P30": { - "hide_name": 0, - "bits": [ 228 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P31": { - "hide_name": 0, - "bits": [ 229 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P32": { - "hide_name": 0, - "bits": [ 230 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P33": { - "hide_name": 0, - "bits": [ 231 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P34": { - "hide_name": 0, - "bits": [ 232 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P35": { - "hide_name": 0, - "bits": [ 233 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P4": { - "hide_name": 0, - "bits": [ 202 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P5": { - "hide_name": 0, - "bits": [ 203 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P6": { - "hide_name": 0, - "bits": [ 204 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P7": { - "hide_name": 0, - "bits": [ 205 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P8": { - "hide_name": 0, - "bits": [ 206 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "P9": { - "hide_name": 0, - "bits": [ 207 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20" - } - }, - "ROA0": { - "hide_name": 0, - "bits": [ 144 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA1": { - "hide_name": 0, - "bits": [ 145 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA10": { - "hide_name": 0, - "bits": [ 154 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA11": { - "hide_name": 0, - "bits": [ 155 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA12": { - "hide_name": 0, - "bits": [ 156 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA13": { - "hide_name": 0, - "bits": [ 157 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA14": { - "hide_name": 0, - "bits": [ 158 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA15": { - "hide_name": 0, - "bits": [ 159 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA16": { - "hide_name": 0, - "bits": [ 160 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA17": { - "hide_name": 0, - "bits": [ 161 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA2": { - "hide_name": 0, - "bits": [ 146 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA3": { - "hide_name": 0, - "bits": [ 147 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA4": { - "hide_name": 0, - "bits": [ 148 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA5": { - "hide_name": 0, - "bits": [ 149 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA6": { - "hide_name": 0, - "bits": [ 150 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA7": { - "hide_name": 0, - "bits": [ 151 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA8": { - "hide_name": 0, - "bits": [ 152 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROA9": { - "hide_name": 0, - "bits": [ 153 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17" - } - }, - "ROB0": { - "hide_name": 0, - "bits": [ 162 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB1": { - "hide_name": 0, - "bits": [ 163 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB10": { - "hide_name": 0, - "bits": [ 172 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB11": { - "hide_name": 0, - "bits": [ 173 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB12": { - "hide_name": 0, - "bits": [ 174 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB13": { - "hide_name": 0, - "bits": [ 175 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB14": { - "hide_name": 0, - "bits": [ 176 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB15": { - "hide_name": 0, - "bits": [ 177 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB16": { - "hide_name": 0, - "bits": [ 178 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB17": { - "hide_name": 0, - "bits": [ 179 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB2": { - "hide_name": 0, - "bits": [ 164 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB3": { - "hide_name": 0, - "bits": [ 165 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB4": { - "hide_name": 0, - "bits": [ 166 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB5": { - "hide_name": 0, - "bits": [ 167 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB6": { - "hide_name": 0, - "bits": [ 168 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB7": { - "hide_name": 0, - "bits": [ 169 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB8": { - "hide_name": 0, - "bits": [ 170 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROB9": { - "hide_name": 0, - "bits": [ 171 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18" - } - }, - "ROC0": { - "hide_name": 0, - "bits": [ 180 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC1": { - "hide_name": 0, - "bits": [ 181 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC10": { - "hide_name": 0, - "bits": [ 190 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC11": { - "hide_name": 0, - "bits": [ 191 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC12": { - "hide_name": 0, - "bits": [ 192 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC13": { - "hide_name": 0, - "bits": [ 193 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC14": { - "hide_name": 0, - "bits": [ 194 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC15": { - "hide_name": 0, - "bits": [ 195 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC16": { - "hide_name": 0, - "bits": [ 196 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC17": { - "hide_name": 0, - "bits": [ 197 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC2": { - "hide_name": 0, - "bits": [ 182 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC3": { - "hide_name": 0, - "bits": [ 183 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC4": { - "hide_name": 0, - "bits": [ 184 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC5": { - "hide_name": 0, - "bits": [ 185 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC6": { - "hide_name": 0, - "bits": [ 186 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC7": { - "hide_name": 0, - "bits": [ 187 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC8": { - "hide_name": 0, - "bits": [ 188 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "ROC9": { - "hide_name": 0, - "bits": [ 189 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19" - } - }, - "RST0": { - "hide_name": 0, - "bits": [ 68 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12" - } - }, - "RST1": { - "hide_name": 0, - "bits": [ 69 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12" - } - }, - "RST2": { - "hide_name": 0, - "bits": [ 70 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12" - } - }, - "RST3": { - "hide_name": 0, - "bits": [ 71 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12" - } - }, - "SIGNEDA": { - "hide_name": 0, - "bits": [ 56 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9" - } - }, - "SIGNEDB": { - "hide_name": 0, - "bits": [ 57 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9" - } - }, - "SIGNEDP": { - "hide_name": 0, - "bits": [ 234 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:21" - } - }, - "SOURCEA": { - "hide_name": 0, - "bits": [ 58 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9" - } - }, - "SOURCEB": { - "hide_name": 0, - "bits": [ 59 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9" - } - }, - "SRIA0": { - "hide_name": 0, - "bits": [ 72 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA1": { - "hide_name": 0, - "bits": [ 73 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA10": { - "hide_name": 0, - "bits": [ 82 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA11": { - "hide_name": 0, - "bits": [ 83 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA12": { - "hide_name": 0, - "bits": [ 84 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA13": { - "hide_name": 0, - "bits": [ 85 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA14": { - "hide_name": 0, - "bits": [ 86 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA15": { - "hide_name": 0, - "bits": [ 87 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA16": { - "hide_name": 0, - "bits": [ 88 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA17": { - "hide_name": 0, - "bits": [ 89 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA2": { - "hide_name": 0, - "bits": [ 74 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA3": { - "hide_name": 0, - "bits": [ 75 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA4": { - "hide_name": 0, - "bits": [ 76 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA5": { - "hide_name": 0, - "bits": [ 77 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA6": { - "hide_name": 0, - "bits": [ 78 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA7": { - "hide_name": 0, - "bits": [ 79 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA8": { - "hide_name": 0, - "bits": [ 80 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIA9": { - "hide_name": 0, - "bits": [ 81 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13" - } - }, - "SRIB0": { - "hide_name": 0, - "bits": [ 90 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB1": { - "hide_name": 0, - "bits": [ 91 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB10": { - "hide_name": 0, - "bits": [ 100 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB11": { - "hide_name": 0, - "bits": [ 101 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB12": { - "hide_name": 0, - "bits": [ 102 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB13": { - "hide_name": 0, - "bits": [ 103 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB14": { - "hide_name": 0, - "bits": [ 104 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB15": { - "hide_name": 0, - "bits": [ 105 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB16": { - "hide_name": 0, - "bits": [ 106 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB17": { - "hide_name": 0, - "bits": [ 107 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB2": { - "hide_name": 0, - "bits": [ 92 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB3": { - "hide_name": 0, - "bits": [ 93 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB4": { - "hide_name": 0, - "bits": [ 94 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB5": { - "hide_name": 0, - "bits": [ 95 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB6": { - "hide_name": 0, - "bits": [ 96 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB7": { - "hide_name": 0, - "bits": [ 97 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB8": { - "hide_name": 0, - "bits": [ 98 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SRIB9": { - "hide_name": 0, - "bits": [ 99 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14" - } - }, - "SROA0": { - "hide_name": 0, - "bits": [ 108 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA1": { - "hide_name": 0, - "bits": [ 109 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA10": { - "hide_name": 0, - "bits": [ 118 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA11": { - "hide_name": 0, - "bits": [ 119 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA12": { - "hide_name": 0, - "bits": [ 120 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA13": { - "hide_name": 0, - "bits": [ 121 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA14": { - "hide_name": 0, - "bits": [ 122 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA15": { - "hide_name": 0, - "bits": [ 123 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA16": { - "hide_name": 0, - "bits": [ 124 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA17": { - "hide_name": 0, - "bits": [ 125 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA2": { - "hide_name": 0, - "bits": [ 110 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA3": { - "hide_name": 0, - "bits": [ 111 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA4": { - "hide_name": 0, - "bits": [ 112 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA5": { - "hide_name": 0, - "bits": [ 113 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA6": { - "hide_name": 0, - "bits": [ 114 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA7": { - "hide_name": 0, - "bits": [ 115 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA8": { - "hide_name": 0, - "bits": [ 116 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROA9": { - "hide_name": 0, - "bits": [ 117 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15" - } - }, - "SROB0": { - "hide_name": 0, - "bits": [ 126 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB1": { - "hide_name": 0, - "bits": [ 127 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB10": { - "hide_name": 0, - "bits": [ 136 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB11": { - "hide_name": 0, - "bits": [ 137 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB12": { - "hide_name": 0, - "bits": [ 138 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB13": { - "hide_name": 0, - "bits": [ 139 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB14": { - "hide_name": 0, - "bits": [ 140 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB15": { - "hide_name": 0, - "bits": [ 141 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB16": { - "hide_name": 0, - "bits": [ 142 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB17": { - "hide_name": 0, - "bits": [ 143 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB2": { - "hide_name": 0, - "bits": [ 128 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB3": { - "hide_name": 0, - "bits": [ 129 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB4": { - "hide_name": 0, - "bits": [ 130 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB5": { - "hide_name": 0, - "bits": [ 131 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB6": { - "hide_name": 0, - "bits": [ 132 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB7": { - "hide_name": 0, - "bits": [ 133 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB8": { - "hide_name": 0, - "bits": [ 134 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - }, - "SROB9": { - "hide_name": 0, - "bits": [ 135 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16" - } - } - } - }, - "OB": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:290" - }, - "ports": { - "I": { - "direction": "input", - "bits": [ 2 ] - }, - "O": { - "direction": "output", - "bits": [ 3 ] - } - }, - "cells": { - }, - "netnames": { - "I": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:290" - } - }, - "O": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:290" - } - } - } - }, - "OBZ": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:249" - }, - "ports": { - "I": { - "direction": "input", - "bits": [ 2 ] - }, - "T": { - "direction": "input", - "bits": [ 3 ] - }, - "O": { - "direction": "output", - "bits": [ 4 ] - } - }, - "cells": { - }, - "netnames": { - "I": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:249" - } - }, - "O": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:249" - } - }, - "T": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:249" - } - } - } - }, - "ODDRX1F": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168" - }, - "ports": { - "SCLK": { - "direction": "input", - "bits": [ 2 ] - }, - "RST": { - "direction": "input", - "bits": [ 3 ] - }, - "D0": { - "direction": "input", - "bits": [ 4 ] - }, - "D1": { - "direction": "input", - "bits": [ 5 ] - }, - "Q": { - "direction": "output", - "bits": [ 6 ] - } - }, - "cells": { - }, - "netnames": { - "D0": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169" - } - }, - "D1": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169" - } - }, - "Q": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170" - } - }, - "RST": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169" - } - }, - "SCLK": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169" - } - } - } - }, - "OSCG": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:153" - }, - "ports": { - "OSC": { - "direction": "output", - "bits": [ 2 ] - } - }, - "cells": { - }, - "netnames": { - "OSC": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:154" - } - } - } - }, - "PCSCLKDIV": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:509" - }, - "ports": { - "CLKI": { - "direction": "input", - "bits": [ 2 ] - }, - "RST": { - "direction": "input", - "bits": [ 3 ] - }, - "SEL2": { - "direction": "input", - "bits": [ 4 ] - }, - "SEL1": { - "direction": "input", - "bits": [ 5 ] - }, - "SEL0": { - "direction": "input", - "bits": [ 6 ] - }, - "CDIV1": { - "direction": "output", - "bits": [ 7 ] - }, - "CDIVX": { - "direction": "output", - "bits": [ 8 ] - } - }, - "cells": { - }, - "netnames": { - "CDIV1": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:511" - } - }, - "CDIVX": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:511" - } - }, - "CLKI": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:510" - } - }, - "RST": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:510" - } - }, - "SEL0": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:510" - } - }, - "SEL1": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:510" - } - }, - "SEL2": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:510" - } - } - } - }, - "PFUMX": { - "attributes": { - "blackbox": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94" - }, - "ports": { - "ALUT": { - "direction": "input", - "bits": [ 2 ] - }, - "BLUT": { - "direction": "input", - "bits": [ 3 ] - }, - "C0": { - "direction": "input", - "bits": [ 4 ] - }, - "Z": { - "direction": "output", - "bits": [ 5 ] - } - }, - "cells": { - }, - "netnames": { - "ALUT": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94" - } - }, - "BLUT": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94" - } - }, - "C0": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94" - } - }, - "Z": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94" - } - } - } - }, - "TRELLIS_DPR16X4": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:100" - }, - "ports": { - "DI": { - "direction": "input", - "bits": [ 2, 3, 4, 5 ] - }, - "WAD": { - "direction": "input", - "bits": [ 6, 7, 8, 9 ] - }, - "WRE": { - "direction": "input", - "bits": [ 10 ] - }, - "WCK": { - "direction": "input", - "bits": [ 11 ] - }, - "RAD": { - "direction": "input", - "bits": [ 12, 13, 14, 15 ] - }, - "DO": { - "direction": "output", - "bits": [ 16, 17, 18, 19 ] - } - }, - "cells": { - }, - "netnames": { - "DI": { - "hide_name": 0, - "bits": [ 2, 3, 4, 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:101" - } - }, - "DO": { - "hide_name": 0, - "bits": [ 16, 17, 18, 19 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:105" - } - }, - "RAD": { - "hide_name": 0, - "bits": [ 12, 13, 14, 15 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:104" - } - }, - "WAD": { - "hide_name": 0, - "bits": [ 6, 7, 8, 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:102" - } - }, - "WCK": { - "hide_name": 0, - "bits": [ 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:103" - } - }, - "WRE": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:103" - } - } - } - }, - "TRELLIS_FF": { - "attributes": { - "blackbox": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206" - }, - "ports": { - "CLK": { - "direction": "input", - "bits": [ 2 ] - }, - "LSR": { - "direction": "input", - "bits": [ 3 ] - }, - "CE": { - "direction": "input", - "bits": [ 4 ] - }, - "DI": { - "direction": "input", - "bits": [ 5 ] - }, - "Q": { - "direction": "output", - "bits": [ 6 ] - } - }, - "cells": { - }, - "netnames": { - "CE": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206" - } - }, - "CLK": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206" - } - }, - "DI": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206" - } - }, - "LSR": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206" - } - }, - "Q": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206" - } - } - } - }, - "TRELLIS_IO": { - "attributes": { - "keep": 1, - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:261" - }, - "ports": { - "B": { - "direction": "inout", - "bits": [ 2 ] - }, - "I": { - "direction": "input", - "bits": [ 3 ] - }, - "T": { - "direction": "input", - "bits": [ 4 ] - }, - "O": { - "direction": "output", - "bits": [ 5 ] - } - }, - "cells": { - }, - "netnames": { - "B": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:262" - } - }, - "I": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:263" - } - }, - "O": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:265" - } - }, - "T": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:264" - } - } - } - }, - "TRELLIS_RAM16X2": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:53" - }, - "ports": { - "DI0": { - "direction": "input", - "bits": [ 2 ] - }, - "DI1": { - "direction": "input", - "bits": [ 3 ] - }, - "WAD0": { - "direction": "input", - "bits": [ 4 ] - }, - "WAD1": { - "direction": "input", - "bits": [ 5 ] - }, - "WAD2": { - "direction": "input", - "bits": [ 6 ] - }, - "WAD3": { - "direction": "input", - "bits": [ 7 ] - }, - "WRE": { - "direction": "input", - "bits": [ 8 ] - }, - "WCK": { - "direction": "input", - "bits": [ 9 ] - }, - "RAD0": { - "direction": "input", - "bits": [ 10 ] - }, - "RAD1": { - "direction": "input", - "bits": [ 11 ] - }, - "RAD2": { - "direction": "input", - "bits": [ 12 ] - }, - "RAD3": { - "direction": "input", - "bits": [ 13 ] - }, - "DO0": { - "direction": "output", - "bits": [ 14 ] - }, - "DO1": { - "direction": "output", - "bits": [ 15 ] - } - }, - "cells": { - }, - "netnames": { - "DI0": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:54" - } - }, - "DI1": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:54" - } - }, - "DO0": { - "hide_name": 0, - "bits": [ 14 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:58" - } - }, - "DO1": { - "hide_name": 0, - "bits": [ 15 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:58" - } - }, - "RAD0": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:57" - } - }, - "RAD1": { - "hide_name": 0, - "bits": [ 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:57" - } - }, - "RAD2": { - "hide_name": 0, - "bits": [ 12 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:57" - } - }, - "RAD3": { - "hide_name": 0, - "bits": [ 13 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:57" - } - }, - "WAD0": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:55" - } - }, - "WAD1": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:55" - } - }, - "WAD2": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:55" - } - }, - "WAD3": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:55" - } - }, - "WCK": { - "hide_name": 0, - "bits": [ 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:56" - } - }, - "WRE": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:56" - } - } - } - }, - "TRELLIS_SLICE": { - "attributes": { - "blackbox": 1, - "cells_not_processed": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:309" - }, - "ports": { - "A0": { - "direction": "input", - "bits": [ 2 ] - }, - "B0": { - "direction": "input", - "bits": [ 3 ] - }, - "C0": { - "direction": "input", - "bits": [ 4 ] - }, - "D0": { - "direction": "input", - "bits": [ 5 ] - }, - "A1": { - "direction": "input", - "bits": [ 6 ] - }, - "B1": { - "direction": "input", - "bits": [ 7 ] - }, - "C1": { - "direction": "input", - "bits": [ 8 ] - }, - "D1": { - "direction": "input", - "bits": [ 9 ] - }, - "M0": { - "direction": "input", - "bits": [ 10 ] - }, - "M1": { - "direction": "input", - "bits": [ 11 ] - }, - "FCI": { - "direction": "input", - "bits": [ 12 ] - }, - "FXA": { - "direction": "input", - "bits": [ 13 ] - }, - "FXB": { - "direction": "input", - "bits": [ 14 ] - }, - "CLK": { - "direction": "input", - "bits": [ 15 ] - }, - "LSR": { - "direction": "input", - "bits": [ 16 ] - }, - "CE": { - "direction": "input", - "bits": [ 17 ] - }, - "DI0": { - "direction": "input", - "bits": [ 18 ] - }, - "DI1": { - "direction": "input", - "bits": [ 19 ] - }, - "WD0": { - "direction": "input", - "bits": [ 20 ] - }, - "WD1": { - "direction": "input", - "bits": [ 21 ] - }, - "WAD0": { - "direction": "input", - "bits": [ 22 ] - }, - "WAD1": { - "direction": "input", - "bits": [ 23 ] - }, - "WAD2": { - "direction": "input", - "bits": [ 24 ] - }, - "WAD3": { - "direction": "input", - "bits": [ 25 ] - }, - "WRE": { - "direction": "input", - "bits": [ 26 ] - }, - "WCK": { - "direction": "input", - "bits": [ 27 ] - }, - "F0": { - "direction": "output", - "bits": [ 28 ] - }, - "Q0": { - "direction": "output", - "bits": [ 29 ] - }, - "F1": { - "direction": "output", - "bits": [ 30 ] - }, - "Q1": { - "direction": "output", - "bits": [ 31 ] - }, - "FCO": { - "direction": "output", - "bits": [ 32 ] - }, - "OFX0": { - "direction": "output", - "bits": [ 33 ] - }, - "OFX1": { - "direction": "output", - "bits": [ 34 ] - }, - "WDO0": { - "direction": "output", - "bits": [ 35 ] - }, - "WDO1": { - "direction": "output", - "bits": [ 36 ] - }, - "WDO2": { - "direction": "output", - "bits": [ 37 ] - }, - "WDO3": { - "direction": "output", - "bits": [ 38 ] - }, - "WADO0": { - "direction": "output", - "bits": [ 39 ] - }, - "WADO1": { - "direction": "output", - "bits": [ 40 ] - }, - "WADO2": { - "direction": "output", - "bits": [ 41 ] - }, - "WADO3": { - "direction": "output", - "bits": [ 42 ] - } - }, - "cells": { - }, - "netnames": { - "A0": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:310" - } - }, - "A1": { - "hide_name": 0, - "bits": [ 6 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311" - } - }, - "B0": { - "hide_name": 0, - "bits": [ 3 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:310" - } - }, - "B1": { - "hide_name": 0, - "bits": [ 7 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311" - } - }, - "C0": { - "hide_name": 0, - "bits": [ 4 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:310" - } - }, - "C1": { - "hide_name": 0, - "bits": [ 8 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311" - } - }, - "CE": { - "hide_name": 0, - "bits": [ 17 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:315" - } - }, - "CLK": { - "hide_name": 0, - "bits": [ 15 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:315" - } - }, - "D0": { - "hide_name": 0, - "bits": [ 5 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:310" - } - }, - "D1": { - "hide_name": 0, - "bits": [ 9 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311" - } - }, - "DI0": { - "hide_name": 0, - "bits": [ 18 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:316" - } - }, - "DI1": { - "hide_name": 0, - "bits": [ 19 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:316" - } - }, - "F0": { - "hide_name": 0, - "bits": [ 28 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:322" - } - }, - "F1": { - "hide_name": 0, - "bits": [ 30 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:323" - } - }, - "FCI": { - "hide_name": 0, - "bits": [ 12 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:313" - } - }, - "FCO": { - "hide_name": 0, - "bits": [ 32 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:324" - } - }, - "FXA": { - "hide_name": 0, - "bits": [ 13 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:313" - } - }, - "FXB": { - "hide_name": 0, - "bits": [ 14 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:313" - } - }, - "LSR": { - "hide_name": 0, - "bits": [ 16 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:315" - } - }, - "M0": { - "hide_name": 0, - "bits": [ 10 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:312" - } - }, - "M1": { - "hide_name": 0, - "bits": [ 11 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:312" - } - }, - "OFX0": { - "hide_name": 0, - "bits": [ 33 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:324" - } - }, - "OFX1": { - "hide_name": 0, - "bits": [ 34 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:324" - } - }, - "Q0": { - "hide_name": 0, - "bits": [ 29 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:322" - } - }, - "Q1": { - "hide_name": 0, - "bits": [ 31 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:323" - } - }, - "WAD0": { - "hide_name": 0, - "bits": [ 22 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:319" - } - }, - "WAD1": { - "hide_name": 0, - "bits": [ 23 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:319" - } - }, - "WAD2": { - "hide_name": 0, - "bits": [ 24 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:319" - } - }, - "WAD3": { - "hide_name": 0, - "bits": [ 25 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:319" - } - }, - "WADO0": { - "hide_name": 0, - "bits": [ 39 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:327" - } - }, - "WADO1": { - "hide_name": 0, - "bits": [ 40 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:327" - } - }, - "WADO2": { - "hide_name": 0, - "bits": [ 41 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:327" - } - }, - "WADO3": { - "hide_name": 0, - "bits": [ 42 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:327" - } - }, - "WCK": { - "hide_name": 0, - "bits": [ 27 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:320" - } - }, - "WD0": { - "hide_name": 0, - "bits": [ 20 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:318" - } - }, - "WD1": { - "hide_name": 0, - "bits": [ 21 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:318" - } - }, - "WDO0": { - "hide_name": 0, - "bits": [ 35 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:326" - } - }, - "WDO1": { - "hide_name": 0, - "bits": [ 36 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:326" - } - }, - "WDO2": { - "hide_name": 0, - "bits": [ 37 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:326" - } - }, - "WDO3": { - "hide_name": 0, - "bits": [ 38 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:326" - } - }, - "WRE": { - "hide_name": 0, - "bits": [ 26 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:320" - } - } - } - }, - "mask_gen": { - "attributes": { - "top": 1, - "src": "mask_gen.v:1" - }, - "ports": { - "clk": { - "direction": "input", - "bits": [ 2 ] - }, - "nibble_width": { - "direction": "input", - "bits": [ 3, 4, 5, 6 ] - }, - "nibble_start": { - "direction": "input", - "bits": [ 7, 8, 9, 10 ] - }, - "mask": { - "direction": "output", - "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74 ] - } - }, - "cells": { - "$abc$976$auto$blifparse.cc:492:parse_blif$1000": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 36590 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 75 ], - "B": [ 76 ], - "C": [ 10 ], - "D": [ 9 ], - "Z": [ 77 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1001": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63488 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 78 ], - "B": [ 79 ], - "C": [ 80 ], - "D": [ 81 ], - "Z": [ 75 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1002": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 178 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 83 ], - "C": [ 76 ], - "D": [ "0" ], - "Z": [ 84 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1003": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 80 ], - "B": [ 81 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 82 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1004": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 57344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 8 ], - "B": [ 7 ], - "C": [ 9 ], - "D": [ 10 ], - "Z": [ 83 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1005.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3840 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 78 ], - "B": [ 79 ], - "C": [ 85 ], - "D": [ 76 ], - "Z": [ 86 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1005.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61198 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 78 ], - "B": [ 79 ], - "C": [ 85 ], - "D": [ 76 ], - "Z": [ 87 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1005.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 87 ], - "BLUT": [ 86 ], - "C0": [ 82 ], - "Z": [ 88 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1006": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 128 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 8 ], - "B": [ 9 ], - "C": [ 10 ], - "D": [ "0" ], - "Z": [ 85 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1007": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 54340 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 89 ], - "B": [ 76 ], - "C": [ 78 ], - "D": [ 82 ], - "Z": [ 90 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1008": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 32768 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 7 ], - "B": [ 8 ], - "C": [ 9 ], - "D": [ 10 ], - "Z": [ 89 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1009": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 248 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 82 ], - "B": [ 91 ], - "C": [ 76 ], - "D": [ "0" ], - "Z": [ 92 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$977": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65296 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 7 ], - "B": [ 8 ], - "C": [ 93 ], - "D": [ 76 ], - "Z": [ 94 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$978": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 9 ], - "B": [ 10 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 93 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$979": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 29008 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 95 ], - "B": [ 8 ], - "C": [ 76 ], - "D": [ 93 ], - "Z": [ 96 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$980": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 80 ], - "B": [ 78 ], - "C": [ 79 ], - "D": [ 81 ], - "Z": [ 95 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$981": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 59630 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 97 ], - "B": [ 76 ], - "C": [ 78 ], - "D": [ 98 ], - "Z": [ 99 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$982": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 1 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 80 ], - "B": [ 81 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 98 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$983": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 7 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 8 ], - "B": [ 7 ], - "C": [ 9 ], - "D": [ 10 ], - "Z": [ 97 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$984": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 59630 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 93 ], - "B": [ 76 ], - "C": [ 91 ], - "D": [ 98 ], - "Z": [ 100 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$985": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 8 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 78 ], - "B": [ 79 ], - "C": [ "0" ], - "D": [ "0" ], - "Z": [ 91 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$986": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 212 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 98 ], - "B": [ 101 ], - "C": [ 76 ], - "D": [ "0" ], - "Z": [ 102 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$987": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 31 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 8 ], - "B": [ 7 ], - "C": [ 9 ], - "D": [ 10 ], - "Z": [ 101 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$988.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63344 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 8 ], - "B": [ 9 ], - "C": [ 103 ], - "D": [ 76 ], - "Z": [ 104 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$988.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61440 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 8 ], - "B": [ 9 ], - "C": [ 103 ], - "D": [ 76 ], - "Z": [ 105 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$988.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 105 ], - "BLUT": [ 104 ], - "C0": [ 10 ], - "Z": [ 106 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$989": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65504 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 78 ], - "B": [ 79 ], - "C": [ 80 ], - "D": [ 81 ], - "Z": [ 103 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$990.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 59904 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 81 ], - "B": [ 80 ], - "C": [ 78 ], - "D": [ 107 ], - "Z": [ 108 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$990.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65514 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 81 ], - "B": [ 80 ], - "C": [ 78 ], - "D": [ 107 ], - "Z": [ 109 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$990.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 109 ], - "BLUT": [ 108 ], - "C0": [ 76 ], - "Z": [ 110 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$991": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 127 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 8 ], - "B": [ 9 ], - "C": [ 7 ], - "D": [ 10 ], - "Z": [ 107 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$992": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 22273 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 10 ], - "B": [ 111 ], - "C": [ 98 ], - "D": [ 76 ], - "Z": [ 112 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$993": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 7 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 79 ], - "B": [ 78 ], - "C": [ 81 ], - "D": [ "0" ], - "Z": [ 111 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$994": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 212 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 113 ], - "B": [ 81 ], - "C": [ 76 ], - "D": [ "0" ], - "Z": [ 114 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$995": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 65024 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 8 ], - "B": [ 9 ], - "C": [ 7 ], - "D": [ 10 ], - "Z": [ 113 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$996.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 87 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 10 ], - "B": [ 8 ], - "C": [ 9 ], - "D": [ 115 ], - "Z": [ 116 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$996.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 22527 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 10 ], - "B": [ 8 ], - "C": [ 9 ], - "D": [ 115 ], - "Z": [ 117 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$996.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 117 ], - "BLUT": [ 116 ], - "C0": [ 76 ], - "Z": [ 118 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$997": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 511 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 78 ], - "B": [ 79 ], - "C": [ 80 ], - "D": [ 81 ], - "Z": [ 115 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$998.lut0": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 3840 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 80 ], - "B": [ 78 ], - "C": [ 119 ], - "D": [ 76 ], - "Z": [ 120 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$998.lut1": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 61198 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 80 ], - "B": [ 78 ], - "C": [ 119 ], - "D": [ 76 ], - "Z": [ 121 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$998.mux5": { - "hide_name": 1, - "type": "PFUMX", - "parameters": { - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" - }, - "port_directions": { - "ALUT": "input", - "BLUT": "input", - "C0": "input", - "Z": "output" - }, - "connections": { - "ALUT": [ 121 ], - "BLUT": [ 120 ], - "C0": [ 81 ], - "Z": [ 122 ] - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$999": { - "hide_name": 1, - "type": "LUT4", - "parameters": { - "INIT": 63488 - }, - "attributes": { - "module_not_derived": 1, - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" - }, - "port_directions": { - "A": "input", - "B": "input", - "C": "input", - "D": "input", - "Z": "output" - }, - "connections": { - "A": [ 8 ], - "B": [ 7 ], - "C": [ 9 ], - "D": [ 10 ], - "Z": [ 119 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$173.slice[0].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:40|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 3 ], - "A1": [ 4 ], - "B0": [ 7 ], - "B1": [ 8 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ "1" ], - "COUT": [ 123 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 124 ], - "S1": [ 125 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$173.slice[2].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:40|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ 5 ], - "A1": [ 6 ], - "B0": [ 9 ], - "B1": [ 10 ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 123 ], - "COUT": [ 126 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 127 ], - "S1": [ 128 ] - } - }, - "$auto$alumacc.cc:474:replace_alu$173.slice[4].ccu2c_i": { - "hide_name": 1, - "type": "CCU2C", - "parameters": { - "INIT0": 26282, - "INIT1": 26282, - "INJECT1_0": "NO", - "INJECT1_1": "NO" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:40|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" - }, - "port_directions": { - "A0": "input", - "A1": "input", - "B0": "input", - "B1": "input", - "C0": "input", - "C1": "input", - "CIN": "input", - "COUT": "output", - "D0": "input", - "D1": "input", - "S0": "output", - "S1": "output" - }, - "connections": { - "A0": [ "0" ], - "A1": [ "0" ], - "B0": [ "0" ], - "B1": [ "0" ], - "C0": [ "0" ], - "C1": [ "0" ], - "CIN": [ 126 ], - "COUT": [ 129 ], - "D0": [ "1" ], - "D1": [ "1" ], - "S0": [ 130 ], - "S1": [ 131 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$789": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 94 ], - "LSR": [ "0" ], - "Q": [ 11 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$790": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 94 ], - "LSR": [ "0" ], - "Q": [ 12 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$791": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 94 ], - "LSR": [ "0" ], - "Q": [ 13 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$792": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 94 ], - "LSR": [ "0" ], - "Q": [ 14 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$793": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 96 ], - "LSR": [ "0" ], - "Q": [ 15 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$794": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 96 ], - "LSR": [ "0" ], - "Q": [ 16 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$795": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 96 ], - "LSR": [ "0" ], - "Q": [ 17 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$796": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 96 ], - "LSR": [ "0" ], - "Q": [ 18 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$797": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 99 ], - "LSR": [ "0" ], - "Q": [ 19 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$798": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 99 ], - "LSR": [ "0" ], - "Q": [ 20 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$799": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 99 ], - "LSR": [ "0" ], - "Q": [ 21 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$800": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 99 ], - "LSR": [ "0" ], - "Q": [ 22 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$801": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 100 ], - "LSR": [ "0" ], - "Q": [ 23 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$802": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 100 ], - "LSR": [ "0" ], - "Q": [ 24 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$803": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 100 ], - "LSR": [ "0" ], - "Q": [ 25 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$804": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 100 ], - "LSR": [ "0" ], - "Q": [ 26 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$805": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 102 ], - "LSR": [ "0" ], - "Q": [ 27 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$806": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 102 ], - "LSR": [ "0" ], - "Q": [ 28 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$807": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 102 ], - "LSR": [ "0" ], - "Q": [ 29 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$808": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 102 ], - "LSR": [ "0" ], - "Q": [ 30 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$809": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 106 ], - "LSR": [ "0" ], - "Q": [ 31 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$810": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 106 ], - "LSR": [ "0" ], - "Q": [ 32 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$811": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 106 ], - "LSR": [ "0" ], - "Q": [ 33 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$812": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 106 ], - "LSR": [ "0" ], - "Q": [ 34 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$813": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 110 ], - "LSR": [ "0" ], - "Q": [ 35 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$814": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 110 ], - "LSR": [ "0" ], - "Q": [ 36 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$815": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 110 ], - "LSR": [ "0" ], - "Q": [ 37 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$816": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 110 ], - "LSR": [ "0" ], - "Q": [ 38 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$817": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 112 ], - "LSR": [ "0" ], - "Q": [ 39 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$818": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 112 ], - "LSR": [ "0" ], - "Q": [ 40 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$819": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 112 ], - "LSR": [ "0" ], - "Q": [ 41 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$820": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 112 ], - "LSR": [ "0" ], - "Q": [ 42 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$821": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 114 ], - "LSR": [ "0" ], - "Q": [ 43 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$822": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 114 ], - "LSR": [ "0" ], - "Q": [ 44 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$823": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 114 ], - "LSR": [ "0" ], - "Q": [ 45 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$824": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 114 ], - "LSR": [ "0" ], - "Q": [ 46 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$825": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 118 ], - "LSR": [ "0" ], - "Q": [ 47 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$826": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 118 ], - "LSR": [ "0" ], - "Q": [ 48 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$827": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 118 ], - "LSR": [ "0" ], - "Q": [ 49 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$828": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 118 ], - "LSR": [ "0" ], - "Q": [ 50 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$829": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 122 ], - "LSR": [ "0" ], - "Q": [ 51 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$830": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 122 ], - "LSR": [ "0" ], - "Q": [ 52 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$831": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 122 ], - "LSR": [ "0" ], - "Q": [ 53 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$832": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 122 ], - "LSR": [ "0" ], - "Q": [ 54 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$833": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 77 ], - "LSR": [ "0" ], - "Q": [ 55 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$834": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 77 ], - "LSR": [ "0" ], - "Q": [ 56 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$835": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 77 ], - "LSR": [ "0" ], - "Q": [ 57 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$836": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 77 ], - "LSR": [ "0" ], - "Q": [ 58 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$837": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 84 ], - "LSR": [ "0" ], - "Q": [ 59 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$838": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 84 ], - "LSR": [ "0" ], - "Q": [ 60 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$839": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 84 ], - "LSR": [ "0" ], - "Q": [ 61 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$840": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 84 ], - "LSR": [ "0" ], - "Q": [ 62 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$841": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 88 ], - "LSR": [ "0" ], - "Q": [ 63 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$842": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 88 ], - "LSR": [ "0" ], - "Q": [ 64 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$843": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 88 ], - "LSR": [ "0" ], - "Q": [ 65 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$844": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 88 ], - "LSR": [ "0" ], - "Q": [ 66 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$845": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 90 ], - "LSR": [ "0" ], - "Q": [ 67 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$846": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 90 ], - "LSR": [ "0" ], - "Q": [ 68 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$847": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 90 ], - "LSR": [ "0" ], - "Q": [ 69 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$848": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 90 ], - "LSR": [ "0" ], - "Q": [ 70 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$849": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 92 ], - "LSR": [ "0" ], - "Q": [ 71 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$850": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 92 ], - "LSR": [ "0" ], - "Q": [ 72 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$851": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 92 ], - "LSR": [ "0" ], - "Q": [ 73 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$852": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 92 ], - "LSR": [ "0" ], - "Q": [ 74 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$853": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 124 ], - "LSR": [ "0" ], - "Q": [ 79 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$854": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 125 ], - "LSR": [ "0" ], - "Q": [ 78 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$855": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 127 ], - "LSR": [ "0" ], - "Q": [ 80 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$856": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 128 ], - "LSR": [ "0" ], - "Q": [ 81 ] - } - }, - "$auto$simplemap.cc:420:simplemap_dff$857": { - "hide_name": 1, - "type": "TRELLIS_FF", - "parameters": { - "CEMUX": "1", - "CLKMUX": "CLK", - "GSR": "DISABLED", - "LSRMUX": "LSR", - "REGSET": "RESET" - }, - "attributes": { - "module_not_derived": 1, - "src": "mask_gen.v:20|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" - }, - "port_directions": { - "CLK": "input", - "DI": "input", - "LSR": "input", - "Q": "output" - }, - "connections": { - "CLK": [ 2 ], - "DI": [ 130 ], - "LSR": [ "0" ], - "Q": [ 76 ] - } - } - }, - "netnames": { - "$0\\bitmask[15:0]": { - "hide_name": 1, - "bits": [ 94, 96, 99, 100, 102, 106, 110, 112, 114, 118, 122, 77, 84, 88, 90, 92 ], - "attributes": { - "src": "mask_gen.v:20" - } - }, - "$0\\n_max[4:0]": { - "hide_name": 1, - "bits": [ 124, 125, 127, 128, 130 ], - "attributes": { - "src": "mask_gen.v:20" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1005.f0": { - "hide_name": 1, - "bits": [ 86 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$1005.f1": { - "hide_name": 1, - "bits": [ 87 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$988.f0": { - "hide_name": 1, - "bits": [ 104 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$988.f1": { - "hide_name": 1, - "bits": [ 105 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$990.f0": { - "hide_name": 1, - "bits": [ 108 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$990.f1": { - "hide_name": 1, - "bits": [ 109 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$996.f0": { - "hide_name": 1, - "bits": [ 116 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$996.f1": { - "hide_name": 1, - "bits": [ 117 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$998.f0": { - "hide_name": 1, - "bits": [ 120 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$auto$blifparse.cc:492:parse_blif$998.f1": { - "hide_name": 1, - "bits": [ 121 ], - "attributes": { - "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" - } - }, - "$abc$976$n28": { - "hide_name": 1, - "bits": [ 93 ], - "attributes": { - } - }, - "$abc$976$n30": { - "hide_name": 1, - "bits": [ 95 ], - "attributes": { - } - }, - "$abc$976$n32": { - "hide_name": 1, - "bits": [ 98 ], - "attributes": { - } - }, - "$abc$976$n33": { - "hide_name": 1, - "bits": [ 97 ], - "attributes": { - } - }, - "$abc$976$n35": { - "hide_name": 1, - "bits": [ 91 ], - "attributes": { - } - }, - "$abc$976$n37": { - "hide_name": 1, - "bits": [ 101 ], - "attributes": { - } - }, - "$abc$976$n39": { - "hide_name": 1, - "bits": [ 103 ], - "attributes": { - } - }, - "$abc$976$n41": { - "hide_name": 1, - "bits": [ 107 ], - "attributes": { - } - }, - "$abc$976$n43": { - "hide_name": 1, - "bits": [ 111 ], - "attributes": { - } - }, - "$abc$976$n45": { - "hide_name": 1, - "bits": [ 113 ], - "attributes": { - } - }, - "$abc$976$n47": { - "hide_name": 1, - "bits": [ 115 ], - "attributes": { - } - }, - "$abc$976$n49": { - "hide_name": 1, - "bits": [ 119 ], - "attributes": { - } - }, - "$abc$976$n51_1": { - "hide_name": 1, - "bits": [ 75 ], - "attributes": { - } - }, - "$abc$976$n53_1": { - "hide_name": 1, - "bits": [ 82 ], - "attributes": { - } - }, - "$abc$976$n54_1": { - "hide_name": 1, - "bits": [ 83 ], - "attributes": { - } - }, - "$abc$976$n56": { - "hide_name": 1, - "bits": [ 85 ], - "attributes": { - } - }, - "$abc$976$n58": { - "hide_name": 1, - "bits": [ 89 ], - "attributes": { - } - }, - "$auto$alumacc.cc:474:replace_alu$173.C": { - "hide_name": 1, - "bits": [ 132, 133, 123, 134, 126, 135 ], - "attributes": { - "src": "mask_gen.v:40|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" - } - }, - "$auto$alumacc.cc:474:replace_alu$173.FCO": { - "hide_name": 1, - "bits": [ 136, 137, 138, 139, 129, 140 ], - "attributes": { - "src": "mask_gen.v:40|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", - "unused_bits": "0 1 2 3 4 5" - } - }, - "$auto$alumacc.cc:474:replace_alu$173.Y1": { - "hide_name": 1, - "bits": [ 141, 142, 143, 144, 131, 145 ], - "attributes": { - "src": "mask_gen.v:40|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", - "unused_bits": "0 1 2 3 4 5" - } - }, - "clk": { - "hide_name": 0, - "bits": [ 2 ], - "attributes": { - "src": "mask_gen.v:8" - } - }, - "mask": { - "hide_name": 0, - "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74 ], - "attributes": { - "src": "mask_gen.v:11" - } - }, - "n_max": { - "hide_name": 0, - "bits": [ 79, 78, 80, 81, 76 ], - "attributes": { - "src": "mask_gen.v:13" - } - }, - "nibble_start": { - "hide_name": 0, - "bits": [ 7, 8, 9, 10 ], - "attributes": { - "src": "mask_gen.v:10" - } - }, - "nibble_width": { - "hide_name": 0, - "bits": [ 3, 4, 5, 6 ], - "attributes": { - "src": "mask_gen.v:9" - } - }, - "nm1": { - "hide_name": 0, - "bits": [ 79, 78, 80, 81 ], - "attributes": { - "src": "mask_gen.v:14" - } - } - } - } - } -} diff --git a/mask_gen.v b/mask_gen.v deleted file mode 100644 index 2a4bbd3..0000000 --- a/mask_gen.v +++ /dev/null @@ -1,164 +0,0 @@ -module mask_gen ( -// ports - clk, - nibble_width, - nibble_start, - mask -); -input clk; // clock -input wire [3:0] nibble_width; // length of mask in nibbles -input wire [3:0] nibble_start; // nibble where the mask starts -output reg [63:0] mask;// 64 bits mask - -reg [4:0] n_max; -wire [3:0] nm1 = n_max[3:0]; -reg [15:0] bitmask_1; -reg [15:0] bitmask_2; -reg [15:0] bitmask; -//wire [3:0] nm1; - -always @( posedge clk) begin - bitmask_1[ 0] = nibble_start==0; - bitmask_1[ 1] = nibble_start==1 | bitmask_1[0]; - bitmask_1[ 2] = nibble_start==2 | bitmask_1[1]; - bitmask_1[ 3] = nibble_start==3 | bitmask_1[2]; - bitmask_1[ 4] = nibble_start==4 | bitmask_1[3]; - bitmask_1[ 5] = nibble_start==5 | bitmask_1[4]; - bitmask_1[ 6] = nibble_start==6 | bitmask_1[5]; - bitmask_1[ 7] = nibble_start==7 | bitmask_1[6]; - bitmask_1[ 8] = nibble_start==8 | bitmask_1[7]; - bitmask_1[ 9] = nibble_start==9 | bitmask_1[8]; - bitmask_1[10] = nibble_start==10 | bitmask_1[9]; - bitmask_1[11] = nibble_start==11 | bitmask_1[10]; - bitmask_1[12] = nibble_start==12 | bitmask_1[11]; - bitmask_1[13] = nibble_start==13 | bitmask_1[12]; - bitmask_1[14] = nibble_start==14 | bitmask_1[13]; - bitmask_1[15] = nibble_start==15 | bitmask_1[14]; - $display("bm1 : %b", bitmask_1); - - - n_max <= nibble_start + nibble_width + 1; - $display("n_max : %h", n_max); - //nm1[3:0] = n_max[3:0]; - - bitmask_2[15] = nm1==15; - bitmask_2[14] = nm1==14 | bitmask_2[15]; - bitmask_2[13] = nm1==13 | bitmask_2[14]; - bitmask_2[12] = nm1==12 | bitmask_2[13]; - bitmask_2[11] = nm1==11 | bitmask_2[12]; - bitmask_2[10] = nm1==10 | bitmask_2[11]; - bitmask_2[ 9] = nm1==9 | bitmask_2[10]; - bitmask_2[ 8] = nm1==8 | bitmask_2[9]; - bitmask_2[ 7] = nm1==7 | bitmask_2[8]; - bitmask_2[ 6] = nm1==6 | bitmask_2[7]; - bitmask_2[ 5] = nm1==5 | bitmask_2[6]; - bitmask_2[ 4] = nm1==4 | bitmask_2[5]; - bitmask_2[ 3] = nm1==3 | bitmask_2[4]; - bitmask_2[ 2] = nm1==2 | bitmask_2[3]; - bitmask_2[ 1] = nm1==1 | bitmask_2[2]; - bitmask_2[ 0] = nm1==0 | bitmask_2[1]; - $display("bm2 : %b", bitmask_2); - - bitmask = n_max[4] ? bitmask_1 | bitmask_2 : bitmask_1 & bitmask_2; - $display("bm : %b", bitmask); - - mask[ 3: 0] = {4{bitmask[ 0]}}; - mask[ 7: 4] = {4{bitmask[ 1]}}; - mask[11: 8] = {4{bitmask[ 2]}}; - mask[15:12] = {4{bitmask[ 3]}}; - mask[19:16] = {4{bitmask[ 4]}}; - mask[23:20] = {4{bitmask[ 5]}}; - mask[27:24] = {4{bitmask[ 6]}}; - mask[31:28] = {4{bitmask[ 7]}}; - mask[35:32] = {4{bitmask[ 8]}}; - mask[39:36] = {4{bitmask[ 9]}}; - mask[43:40] = {4{bitmask[10]}}; - mask[47:44] = {4{bitmask[11]}}; - mask[51:48] = {4{bitmask[12]}}; - mask[55:52] = {4{bitmask[13]}}; - mask[59:56] = {4{bitmask[14]}}; - mask[63:60] = {4{bitmask[15]}}; -end - -endmodule - -`ifdef SIM - -//`timescale 1 ns / 100 ps - -module mask_gen_tb; - -// inputs -reg clock; - -reg [3:0] nw; -reg [3:0] ns; -// outputs -wire [63:0] m; - - -mask_gen U0 ( - .clk (clock), - .nibble_width (nw), - .nibble_start (ns), - .mask (m) -); - - -always - #10 clock = (clock === 1'b0); - -initial begin - //$monitor ("clk %b", clock); - $monitor ("clk %b | nw %d | ns %d | m %h", clock, nw, ns, m); - //#10 $display("1"); - //#10 $display("2"); - //#10 $finish; -end - - -initial begin - $dumpfile("text.vcd"); - $dumpvars(clock, nw, ns, m); - $display($time, "starting simulation"); - clock = 0; - $display("starting the simulation"); - run_mask_gen(4, 0); - run_mask_gen(4, 1); - run_mask_gen(4, 2); - run_mask_gen(4, 3); - run_mask_gen(4, 4); - run_mask_gen(4, 5); - run_mask_gen(4, 6); - run_mask_gen(4, 7); - run_mask_gen(4, 8); - run_mask_gen(4, 9); - run_mask_gen(4,10); - run_mask_gen(4,11); - run_mask_gen(4,12); - run_mask_gen(4,13); - run_mask_gen(4,14); - run_mask_gen(4,15); - - //run_mask_gen(4, 0); - //run_mask_gen(4, 0); - //run_mask_gen(4, 0); - //run_mask_gen(4, 0); - $finish; -end - - -task run_mask_gen; - input [3:0] _nw; - input [3:0] _ns; - begin - $display("running", _nw, _ns); - @(posedge clock); - nw = _nw; - ns = _ns; - end -endtask - -endmodule - -`endif diff --git a/mask_gen_tb b/mask_gen_tb deleted file mode 100755 index 3766486..0000000 --- a/mask_gen_tb +++ /dev/null @@ -1,645 +0,0 @@ -#! /usr/bin/vvp -v -:ivl_version "10.1 (stable)"; -:ivl_delay_selection "TYPICAL"; -:vpi_time_precision + 0; -:vpi_module "system"; -:vpi_module "vhdl_sys"; -:vpi_module "v2005_math"; -:vpi_module "va_math"; -S_0x562a0b875c60 .scope module, "mask_gen_tb" "mask_gen_tb" 2 89; - .timescale 0 0; -v0x562a0b8968d0_0 .var "clock", 0 0; -v0x562a0b896990_0 .net "m", 63 0, v0x562a0b896040_0; 1 drivers -v0x562a0b896a60_0 .var "ns", 3 0; -v0x562a0b896b60_0 .var "nw", 3 0; -S_0x562a0b875de0 .scope module, "U0" "mask_gen" 2 100, 2 1 0, S_0x562a0b875c60; - .timescale 0 0; - .port_info 0 /INPUT 1 "clk" - .port_info 1 /INPUT 4 "nibble_width" - .port_info 2 /INPUT 4 "nibble_start" - .port_info 3 /OUTPUT 64 "mask" -v0x562a0b85f770_0 .var "bitmask", 15 0; -v0x562a0b895de0_0 .var "bitmask_1", 15 0; -v0x562a0b895ec0_0 .var "bitmask_2", 15 0; -v0x562a0b895f80_0 .net "clk", 0 0, v0x562a0b8968d0_0; 1 drivers -v0x562a0b896040_0 .var "mask", 63 0; -v0x562a0b896170_0 .var "n_max", 4 0; -v0x562a0b896250_0 .net "nibble_start", 3 0, v0x562a0b896a60_0; 1 drivers -v0x562a0b896330_0 .net "nibble_width", 3 0, v0x562a0b896b60_0; 1 drivers -v0x562a0b896410_0 .net "nm1", 3 0, L_0x562a0b896c30; 1 drivers -E_0x562a0b8468f0 .event posedge, v0x562a0b895f80_0; -L_0x562a0b896c30 .part v0x562a0b896170_0, 0, 4; -S_0x562a0b896570 .scope task, "run_mask_gen" "run_mask_gen" 2 151, 2 151 0, S_0x562a0b875c60; - .timescale 0 0; -v0x562a0b896710_0 .var "_ns", 3 0; -v0x562a0b8967f0_0 .var "_nw", 3 0; -TD_mask_gen_tb.run_mask_gen ; - %vpi_call 2 155 "$display", "running", v0x562a0b8967f0_0, v0x562a0b896710_0 {0 0 0}; - %wait E_0x562a0b8468f0; - %load/vec4 v0x562a0b8967f0_0; - %store/vec4 v0x562a0b896b60_0, 0, 4; - %load/vec4 v0x562a0b896710_0; - %store/vec4 v0x562a0b896a60_0, 0, 4; - %end; - .scope S_0x562a0b875de0; -T_1 ; - %wait E_0x562a0b8468f0; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 0, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %ix/load 4, 0, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 1, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 0, 2; - %or; - %ix/load 4, 1, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 2, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 1, 2; - %or; - %ix/load 4, 2, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 3, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 2, 3; - %or; - %ix/load 4, 3, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 4, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 3, 3; - %or; - %ix/load 4, 4, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 5, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 4, 4; - %or; - %ix/load 4, 5, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 6, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 5, 4; - %or; - %ix/load 4, 6, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 7, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 6, 4; - %or; - %ix/load 4, 7, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 8, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 7, 4; - %or; - %ix/load 4, 8, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 9, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 8, 5; - %or; - %ix/load 4, 9, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 10, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 9, 5; - %or; - %ix/load 4, 10, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 11, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 10, 5; - %or; - %ix/load 4, 11, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 12, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 11, 5; - %or; - %ix/load 4, 12, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 13, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 12, 5; - %or; - %ix/load 4, 13, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 14, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 13, 5; - %or; - %ix/load 4, 14, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %load/vec4 v0x562a0b896250_0; - %pad/u 32; - %pushi/vec4 15, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895de0_0; - %parti/s 1, 14, 5; - %or; - %ix/load 4, 15, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895de0_0, 4, 1; - %vpi_call 2 37 "$display", "bm1 : %b", v0x562a0b895de0_0 {0 0 0}; - %load/vec4 v0x562a0b896250_0; - %pad/u 5; - %load/vec4 v0x562a0b896330_0; - %pad/u 5; - %add; - %addi 1, 0, 5; - %assign/vec4 v0x562a0b896170_0, 0; - %vpi_call 2 41 "$display", "n_max : %h", v0x562a0b896170_0 {0 0 0}; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 15, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %ix/load 4, 15, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 14, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 15, 5; - %or; - %ix/load 4, 14, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 13, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 14, 5; - %or; - %ix/load 4, 13, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 12, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 13, 5; - %or; - %ix/load 4, 12, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 11, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 12, 5; - %or; - %ix/load 4, 11, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 10, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 11, 5; - %or; - %ix/load 4, 10, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 9, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 10, 5; - %or; - %ix/load 4, 9, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 8, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 9, 5; - %or; - %ix/load 4, 8, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 7, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 8, 5; - %or; - %ix/load 4, 7, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 6, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 7, 4; - %or; - %ix/load 4, 6, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 5, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 6, 4; - %or; - %ix/load 4, 5, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 4, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 5, 4; - %or; - %ix/load 4, 4, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 3, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 4, 4; - %or; - %ix/load 4, 3, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 2, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 3, 3; - %or; - %ix/load 4, 2, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 1, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 2, 3; - %or; - %ix/load 4, 1, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %load/vec4 v0x562a0b896410_0; - %pad/u 32; - %pushi/vec4 0, 0, 32; - %cmp/e; - %flag_get/vec4 4; - %load/vec4 v0x562a0b895ec0_0; - %parti/s 1, 1, 2; - %or; - %ix/load 4, 0, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b895ec0_0, 4, 1; - %vpi_call 2 60 "$display", "bm2 : %b", v0x562a0b895ec0_0 {0 0 0}; - %load/vec4 v0x562a0b896170_0; - %parti/s 1, 4, 4; - %flag_set/vec4 8; - %jmp/0 T_1.0, 8; - %load/vec4 v0x562a0b895de0_0; - %load/vec4 v0x562a0b895ec0_0; - %or; - %jmp/1 T_1.1, 8; -T_1.0 ; End of true expr. - %load/vec4 v0x562a0b895de0_0; - %load/vec4 v0x562a0b895ec0_0; - %and; - %jmp/0 T_1.1, 8; - ; End of false expr. - %blend; -T_1.1; - %store/vec4 v0x562a0b85f770_0, 0, 16; - %vpi_call 2 63 "$display", "bm : %b", v0x562a0b85f770_0 {0 0 0}; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 0, 2; - %replicate 4; - %ix/load 4, 0, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 1, 2; - %replicate 4; - %ix/load 4, 4, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 2, 3; - %replicate 4; - %ix/load 4, 8, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 3, 3; - %replicate 4; - %ix/load 4, 12, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 4, 4; - %replicate 4; - %ix/load 4, 16, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 5, 4; - %replicate 4; - %ix/load 4, 20, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 6, 4; - %replicate 4; - %ix/load 4, 24, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 7, 4; - %replicate 4; - %ix/load 4, 28, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 8, 5; - %replicate 4; - %ix/load 4, 32, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 9, 5; - %replicate 4; - %ix/load 4, 36, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 10, 5; - %replicate 4; - %ix/load 4, 40, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 11, 5; - %replicate 4; - %ix/load 4, 44, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 12, 5; - %replicate 4; - %ix/load 4, 48, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 13, 5; - %replicate 4; - %ix/load 4, 52, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 14, 5; - %replicate 4; - %ix/load 4, 56, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %load/vec4 v0x562a0b85f770_0; - %parti/s 1, 15, 5; - %replicate 4; - %ix/load 4, 60, 0; - %flag_set/imm 4, 0; - %store/vec4 v0x562a0b896040_0, 4, 4; - %jmp T_1; - .thread T_1; - .scope S_0x562a0b875c60; -T_2 ; - %delay 10, 0; - %load/vec4 v0x562a0b8968d0_0; - %pushi/vec4 0, 0, 1; - %cmp/e; - %flag_get/vec4 6; - %store/vec4 v0x562a0b8968d0_0, 0, 1; - %jmp T_2; - .thread T_2; - .scope S_0x562a0b875c60; -T_3 ; - %vpi_call 2 113 "$monitor", "clk %b | nw %d | ns %d | m %h", v0x562a0b8968d0_0, v0x562a0b896b60_0, v0x562a0b896a60_0, v0x562a0b896990_0 {0 0 0}; - %end; - .thread T_3; - .scope S_0x562a0b875c60; -T_4 ; - %vpi_call 2 121 "$dumpfile", "text.vcd" {0 0 0}; - %vpi_call 2 122 "$dumpvars", v0x562a0b8968d0_0, v0x562a0b896b60_0, v0x562a0b896a60_0, v0x562a0b896990_0 {0 0 0}; - %vpi_call 2 123 "$display", $time, "starting simulation" {0 0 0}; - %pushi/vec4 0, 0, 1; - %store/vec4 v0x562a0b8968d0_0, 0, 1; - %vpi_call 2 125 "$display", "starting the simulation" {0 0 0}; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 0, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 1, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 2, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 3, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 5, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 6, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 7, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 8, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 9, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 10, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 11, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 12, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 13, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 14, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %pushi/vec4 4, 0, 4; - %store/vec4 v0x562a0b8967f0_0, 0, 4; - %pushi/vec4 15, 0, 4; - %store/vec4 v0x562a0b896710_0, 0, 4; - %fork TD_mask_gen_tb.run_mask_gen, S_0x562a0b896570; - %join; - %vpi_call 2 147 "$finish" {0 0 0}; - %end; - .thread T_4; -# The file index is used to find the file name in the following table. -:file_names 3; - "N/A"; - ""; - "mask_gen.v"; diff --git a/run b/run index 18bee2e..208f397 100755 --- a/run +++ b/run @@ -1,4 +1,7 @@ #!/bin/bash +# +# licence: GPLv3 or later +# verilator -Wall -cc saturn_core.v VERILATOR_STATUS=$? if [ "VERILATOR_STATUS" != "0" ] diff --git a/saturn_core.v b/saturn_core.v index 7944194..1f4c179 100644 --- a/saturn_core.v +++ b/saturn_core.v @@ -1,3 +1,7 @@ +/* + * Licence: GPLv3 or later + */ + `default_nettype none // /************************************************************************************************** @@ -43,17 +47,24 @@ endmodule * * */ +`define BUSCMD_NOP 0 +`define BUSCMD_DP_WRITE 5 +`define BUSCMD_CONFIGURE 8 + module hp48_io_ram ( input clk, input [19:0] address, - input configure, - input write, + input [3:0] command, input [3:0] nibble_in, output [3:0] nibble_out ); -localparam IO_RAM_LEN = 64; +localparam IO_RAM_LEN = 64; + +// localparam BUSCMD_DP_WRITE = C_BUSCMD_DP_WRITE; +// localparam BUSCMD_CONFIGURE = C_BUSCMD_CONFIGURE; + reg configured; reg [19:0] base_addr; @@ -85,8 +96,19 @@ initial `endif end -//always @(posedge clk) - +always @(posedge clk) + case (command) + `BUSCMD_NOP: begin end // do nothing + `BUSCMD_CONFIGURE: + begin +`ifdef SIM + $display("io_ram: configure at %5h len %d", address, IO_RAM_LEN); +`endif + base_addr <= address; + end + default: + $display("io_ram: unhandled command %h", command); + endcase endmodule @@ -128,6 +150,11 @@ assign reset = btn[1]; localparam REGDMP_HEX = 8'h00; +// bus commands + +// localparam BUSCMD_DP_WRITE = `C_BUSCMD_DP_WRITE; +// localparam BUSCMD_CONFIGURE = `C_BUSCMD_CONFIGURE; + // runstate localparam RUN_START = 0; @@ -217,13 +244,12 @@ reg [7:0] regdump; // memory access //reg rom_clock; -reg [19:0] rom_address; -reg rom_enable; -wire[3:0] rom_nibble; +reg [19:0] rom_address; +reg rom_enable; +wire[3:0] rom_nibble; // io_ram access -reg io_configure; -reg io_write; +reg [3:0] bus_command; reg [3:0] nibble_in; wire [3:0] nibble_out; @@ -275,8 +301,7 @@ hp_rom calc_rom ( hp48_io_ram io_ram ( .clk (clk), .address (rom_address), - .configure (io_configure), - .write (io_write), + .command (bus_command), .nibble_in (nibble_in), .nibble_out (nibble_out) ); @@ -291,6 +316,19 @@ begin if (reset) begin + // bus + + bus_command <= `BUSCMD_NOP; + + // processor state machine + + halt <= 0; + runstate <= RUN_START; + decstate <= DECODE_START; + regdump <= REGDMP_HEX; + + // processor registers + hex_dec <= HEX; rstk_ptr <= 7; @@ -323,10 +361,6 @@ begin R3 <= 0; R4 <= 0; - halt <= 0; - runstate <= RUN_START; - decstate <= DECODE_START; - regdump <= REGDMP_HEX; end else if (runstate == RUN_START) @@ -389,6 +423,10 @@ begin runstate <= READ_ROM_VAL; end + if (runstate == WRITE_STA) + begin + bus_command <= `BUSCMD_DP_WRITE; + end //-------------------------------------------------------------------------------------------------- //