From 40d75acc8fd7b1727b2bb54af35b8a6e681d2691 Mon Sep 17 00:00:00 2001 From: Raphael Jacquot Date: Mon, 4 Feb 2019 22:08:17 +0100 Subject: [PATCH] implement more stuff --- run | 2 +- saturn_core.json | 333326 +++++++++++++++++++++++++++++++++++++++++++- saturn_core.v | 266 +- 3 files changed, 333500 insertions(+), 94 deletions(-) diff --git a/run b/run index 0cfb42c..18bee2e 100755 --- a/run +++ b/run @@ -4,7 +4,7 @@ VERILATOR_STATUS=$? if [ "VERILATOR_STATUS" != "0" ] then echo "verilator fail" - exit + #exit fi #iverilog -v -Wall -DSIM -o mask_gen_tb mask_gen.v iverilog -v -Wall -DSIM -o rom_tb saturn_core.v diff --git a/saturn_core.json b/saturn_core.json index b4025ab..ba54540 100644 --- a/saturn_core.json +++ b/saturn_core.json @@ -5013,7 +5013,6 @@ "CCU2C": { "attributes": { "blackbox": 1, - "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19" }, "ports": { @@ -8487,7 +8486,6 @@ "DP16KD": { "attributes": { "blackbox": 1, - "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:452" }, "ports": { @@ -10406,7 +10404,6 @@ "L6MUX21": { "attributes": { "blackbox": 1, - "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13" }, "ports": { @@ -10509,7 +10506,6 @@ "LUT4": { "attributes": { "blackbox": 1, - "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3" }, "ports": { @@ -13416,7 +13412,6 @@ "PFUMX": { "attributes": { "blackbox": 1, - "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94" }, "ports": { @@ -13552,7 +13547,6 @@ "TRELLIS_FF": { "attributes": { "blackbox": 1, - "cells_not_processed": 1, "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206" }, "ports": { @@ -14309,7 +14303,7 @@ "saturn_core": { "attributes": { "top": 1, - "src": "saturn_core.v:49" + "src": "saturn_core.v:55" }, "ports": { "clk_25mhz": { @@ -14323,54 +14317,333354 @@ "wifi_gpio0": { "direction": "output", "bits": [ "1" ] + }, + "led": { + "direction": "output", + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ] } }, "cells": { + "$abc$39259$auto$blifparse.cc:492:parse_blif$39260.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 19 ], + "C": [ 20 ], + "D": [ 21 ], + "Z": [ 22 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39260.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 19 ], + "C": [ 20 ], + "D": [ 21 ], + "Z": [ 23 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39260.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 23 ], + "BLUT": [ 22 ], + "C0": [ 24 ], + "Z": [ 25 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39261": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 26 ], + "B": [ 27 ], + "C": [ 28 ], + "D": [ 29 ], + "Z": [ 21 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39262.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 30 ], + "B": [ 31 ], + "C": [ 32 ], + "D": [ 33 ], + "Z": [ 34 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39262.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 30 ], + "B": [ 31 ], + "C": [ 32 ], + "D": [ 33 ], + "Z": [ 35 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39262.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 35 ], + "BLUT": [ 34 ], + "C0": [ 36 ], + "Z": [ 28 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39263": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 38 ], + "C": [ 39 ], + "D": [ 40 ], + "Z": [ 36 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39264": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 42 ], + "C": [ 43 ], + "D": [ "0" ], + "Z": [ 39 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39265": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 43 ], + "C": [ 42 ], + "D": [ "0" ], + "Z": [ 37 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39266": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 43 ], + "C": [ 42 ], + "D": [ "0" ], + "Z": [ 33 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39267": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 43 ], + "C": [ 42 ], + "D": [ "0" ], + "Z": [ 31 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39268": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 44 ], + "B": [ 45 ], + "C": [ 18 ], + "D": [ 46 ], + "Z": [ 29 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39269": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 48 ], + "C": [ 49 ], + "D": [ 50 ], + "Z": [ 46 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39270": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 41 ], + "B": [ 43 ], + "C": [ 42 ], + "D": [ "0" ], + "Z": [ 49 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39271": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 43 ], + "B": [ 42 ], + "C": [ 41 ], + "D": [ "0" ], + "Z": [ 47 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39272": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 43 ], + "B": [ 41 ], + "C": [ 42 ], + "D": [ "0" ], + "Z": [ 45 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39273": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 42 ], + "B": [ 43 ], + "C": [ 41 ], + "D": [ "0" ], + "Z": [ 27 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39274": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 51 ], + "B": [ 52 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 20 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39275": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 53 ], + "B": [ 54 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 51 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39276": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], + "B": [ 56 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 54 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39277": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 57 ], + "B": [ 58 ], + "C": [ 59 ], + "D": [ 60 ], + "Z": [ 24 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39278": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 52 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 60 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39279": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 53 ], + "C": [ 52 ], + "D": [ "0" ], + "Z": [ 58 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39280": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 61 ], + "C": [ 62 ], + "D": [ 63 ], + "Z": [ 19 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 65 ], + "C": [ 66 ], + "D": [ 67 ], + "Z": [ 68 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 65 ], + "C": [ 66 ], + "D": [ 67 ], + "Z": [ 69 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 65 ], + "C": [ 66 ], + "D": [ 67 ], + "Z": [ 70 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 65 ], + "C": [ 66 ], + "D": [ 67 ], + "Z": [ 71 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 65 ], + "C": [ 66 ], + "D": [ 67 ], + "Z": [ 72 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 65 ], + "C": [ 66 ], + "D": [ 67 ], + "Z": [ 73 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 65 ], + "C": [ 66 ], + "D": [ 67 ], + "Z": [ 74 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 64 ], + "B": [ 65 ], + "C": [ 66 ], + "D": [ 67 ], + "Z": [ 75 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 69 ], + "BLUT": [ 68 ], + "C0": [ 76 ], + "Z": [ 77 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 71 ], + "BLUT": [ 70 ], + "C0": [ 76 ], + "Z": [ 78 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 73 ], + "BLUT": [ 72 ], + "C0": [ 76 ], + "Z": [ 79 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 75 ], + "BLUT": [ 74 ], + "C0": [ 76 ], + "Z": [ 80 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 77 ], + "D1": [ 78 ], + "SD": [ 81 ], + "Z": [ 82 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 79 ], + "D1": [ 80 ], + "SD": [ 81 ], + "Z": [ 83 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 82 ], + "D1": [ 83 ], + "SD": [ 84 ], + "Z": [ 85 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39283.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 86 ], + "B": [ 87 ], + "C": [ 88 ], + "D": [ 89 ], + "Z": [ 90 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39283.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 86 ], + "B": [ 87 ], + "C": [ 88 ], + "D": [ 89 ], + "Z": [ 91 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39283.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 91 ], + "BLUT": [ 90 ], + "C0": [ 92 ], + "Z": [ 81 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39284.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 97 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39284.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 98 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39284.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 98 ], + "BLUT": [ 97 ], + "C0": [ 99 ], + "Z": [ 92 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 105 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 100 ], + "B": [ 101 ], + "C": [ 102 ], + "D": [ 103 ], + "Z": [ 107 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 105 ], + "BLUT": [ 104 ], + "C0": [ 108 ], + "Z": [ 109 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 107 ], + "BLUT": [ 106 ], + "C0": [ 108 ], + "Z": [ 110 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 109 ], + "D1": [ 110 ], + "SD": [ 111 ], + "Z": [ 96 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39286.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 112 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 115 ], + "Z": [ 116 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39286.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 112 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 115 ], + "Z": [ 117 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39286.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 117 ], + "BLUT": [ 116 ], + "C0": [ 118 ], + "Z": [ 100 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 119 ], + "B": [ 120 ], + "C": [ 121 ], + "D": [ 122 ], + "Z": [ 123 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 119 ], + "B": [ 120 ], + "C": [ 121 ], + "D": [ 122 ], + "Z": [ 124 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 119 ], + "B": [ 120 ], + "C": [ 121 ], + "D": [ 122 ], + "Z": [ 125 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 119 ], + "B": [ 120 ], + "C": [ 121 ], + "D": [ 122 ], + "Z": [ 126 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 119 ], + "B": [ 120 ], + "C": [ 121 ], + "D": [ 122 ], + "Z": [ 127 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 119 ], + "B": [ 120 ], + "C": [ 121 ], + "D": [ 122 ], + "Z": [ 128 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 119 ], + "B": [ 120 ], + "C": [ 121 ], + "D": [ 122 ], + "Z": [ 129 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 119 ], + "B": [ 120 ], + "C": [ 121 ], + "D": [ 122 ], + "Z": [ 130 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 124 ], + "BLUT": [ 123 ], + "C0": [ 131 ], + "Z": [ 132 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 126 ], + "BLUT": [ 125 ], + "C0": [ 131 ], + "Z": [ 133 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 128 ], + "BLUT": [ 127 ], + "C0": [ 131 ], + "Z": [ 134 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 130 ], + "BLUT": [ 129 ], + "C0": [ 131 ], + "Z": [ 135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 132 ], + "D1": [ 133 ], + "SD": [ 136 ], + "Z": [ 137 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 134 ], + "D1": [ 135 ], + "SD": [ 136 ], + "Z": [ 138 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 137 ], + "D1": [ 138 ], + "SD": [ 139 ], + "Z": [ 113 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39288.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 140 ], + "B": [ 141 ], + "C": [ 142 ], + "D": [ 143 ], + "Z": [ 144 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39288.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 140 ], + "B": [ 141 ], + "C": [ 142 ], + "D": [ 143 ], + "Z": [ 145 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39288.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 145 ], + "BLUT": [ 144 ], + "C0": [ 146 ], + "Z": [ 119 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39289.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 147 ], + "B": [ 148 ], + "C": [ 149 ], + "D": [ 150 ], + "Z": [ 151 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39289.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 147 ], + "B": [ 148 ], + "C": [ 149 ], + "D": [ 150 ], + "Z": [ 152 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39289.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 152 ], + "BLUT": [ 151 ], + "C0": [ 153 ], + "Z": [ 146 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 154 ], + "B": [ 155 ], + "C": [ 156 ], + "D": [ 157 ], + "Z": [ 158 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 154 ], + "B": [ 155 ], + "C": [ 156 ], + "D": [ 157 ], + "Z": [ 159 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 154 ], + "B": [ 155 ], + "C": [ 156 ], + "D": [ 157 ], + "Z": [ 160 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 154 ], + "B": [ 155 ], + "C": [ 156 ], + "D": [ 157 ], + "Z": [ 161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 154 ], + "B": [ 155 ], + "C": [ 156 ], + "D": [ 157 ], + "Z": [ 162 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 154 ], + "B": [ 155 ], + "C": [ 156 ], + "D": [ 157 ], + "Z": [ 163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 154 ], + "B": [ 155 ], + "C": [ 156 ], + "D": [ 157 ], + "Z": [ 164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 154 ], + "B": [ 155 ], + "C": [ 156 ], + "D": [ 157 ], + "Z": [ 165 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 159 ], + "BLUT": [ 158 ], + "C0": [ 143 ], + "Z": [ 166 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 161 ], + "BLUT": [ 160 ], + "C0": [ 143 ], + "Z": [ 167 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 163 ], + "BLUT": [ 162 ], + "C0": [ 143 ], + "Z": [ 168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 165 ], + "BLUT": [ 164 ], + "C0": [ 143 ], + "Z": [ 169 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 166 ], + "D1": [ 167 ], + "SD": [ 170 ], + "Z": [ 171 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 168 ], + "D1": [ 169 ], + "SD": [ 170 ], + "Z": [ 172 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 171 ], + "D1": [ 172 ], + "SD": [ 173 ], + "Z": [ 150 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39291.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 174 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 177 ], + "Z": [ 178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39291.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 174 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 177 ], + "Z": [ 179 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39291.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 179 ], + "BLUT": [ 178 ], + "C0": [ 180 ], + "Z": [ 154 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 181 ], + "B": [ 182 ], + "C": [ 183 ], + "D": [ 184 ], + "Z": [ 185 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 181 ], + "B": [ 182 ], + "C": [ 183 ], + "D": [ 184 ], + "Z": [ 186 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 181 ], + "B": [ 182 ], + "C": [ 183 ], + "D": [ 184 ], + "Z": [ 187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 181 ], + "B": [ 182 ], + "C": [ 183 ], + "D": [ 184 ], + "Z": [ 188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 181 ], + "B": [ 182 ], + "C": [ 183 ], + "D": [ 184 ], + "Z": [ 189 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 181 ], + "B": [ 182 ], + "C": [ 183 ], + "D": [ 184 ], + "Z": [ 190 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 181 ], + "B": [ 182 ], + "C": [ 183 ], + "D": [ 184 ], + "Z": [ 191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 181 ], + "B": [ 182 ], + "C": [ 183 ], + "D": [ 184 ], + "Z": [ 192 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 186 ], + "BLUT": [ 185 ], + "C0": [ 193 ], + "Z": [ 194 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 188 ], + "BLUT": [ 187 ], + "C0": [ 193 ], + "Z": [ 195 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 190 ], + "BLUT": [ 189 ], + "C0": [ 193 ], + "Z": [ 196 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 192 ], + "BLUT": [ 191 ], + "C0": [ 193 ], + "Z": [ 197 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 194 ], + "D1": [ 195 ], + "SD": [ 198 ], + "Z": [ 199 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 196 ], + "D1": [ 197 ], + "SD": [ 198 ], + "Z": [ 200 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 199 ], + "D1": [ 200 ], + "SD": [ 201 ], + "Z": [ 175 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39293.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 202 ], + "B": [ 203 ], + "C": [ 204 ], + "D": [ 205 ], + "Z": [ 206 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39293.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61440 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 202 ], + "B": [ 203 ], + "C": [ 204 ], + "D": [ 205 ], + "Z": [ 207 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39293.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 207 ], + "BLUT": [ 206 ], + "C0": [ 208 ], + "Z": [ 181 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39294.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 209 ], + "B": [ 210 ], + "C": [ 211 ], + "D": [ 212 ], + "Z": [ 213 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39294.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 209 ], + "B": [ 210 ], + "C": [ 211 ], + "D": [ 212 ], + "Z": [ 214 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39294.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 214 ], + "BLUT": [ 213 ], + "C0": [ 215 ], + "Z": [ 208 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39295": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 216 ], + "B": [ 217 ], + "C": [ 218 ], + "D": [ "0" ], + "Z": [ 212 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39296": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 219 ], + "B": [ 220 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 216 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 223 ], + "B": [ 224 ], + "C": [ 225 ], + "D": [ 226 ], + "Z": [ 227 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 223 ], + "B": [ 224 ], + "C": [ 225 ], + "D": [ 226 ], + "Z": [ 228 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 223 ], + "B": [ 224 ], + "C": [ 225 ], + "D": [ 226 ], + "Z": [ 229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 223 ], + "B": [ 224 ], + "C": [ 225 ], + "D": [ 226 ], + "Z": [ 230 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 223 ], + "B": [ 224 ], + "C": [ 225 ], + "D": [ 226 ], + "Z": [ 231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 223 ], + "B": [ 224 ], + "C": [ 225 ], + "D": [ 226 ], + "Z": [ 232 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 223 ], + "B": [ 224 ], + "C": [ 225 ], + "D": [ 226 ], + "Z": [ 233 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 223 ], + "B": [ 224 ], + "C": [ 225 ], + "D": [ 226 ], + "Z": [ 234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 228 ], + "BLUT": [ 227 ], + "C0": [ 235 ], + "Z": [ 236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 230 ], + "BLUT": [ 229 ], + "C0": [ 235 ], + "Z": [ 237 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 232 ], + "BLUT": [ 231 ], + "C0": [ 235 ], + "Z": [ 238 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 234 ], + "BLUT": [ 233 ], + "C0": [ 235 ], + "Z": [ 239 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 236 ], + "D1": [ 237 ], + "SD": [ 240 ], + "Z": [ 241 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 238 ], + "D1": [ 239 ], + "SD": [ 240 ], + "Z": [ 242 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 241 ], + "D1": [ 242 ], + "SD": [ 243 ], + "Z": [ 221 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 245 ], + "C": [ 246 ], + "D": [ 247 ], + "Z": [ 248 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 245 ], + "C": [ 246 ], + "D": [ 247 ], + "Z": [ 249 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 245 ], + "C": [ 246 ], + "D": [ 247 ], + "Z": [ 250 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 245 ], + "C": [ 246 ], + "D": [ 247 ], + "Z": [ 251 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 245 ], + "C": [ 246 ], + "D": [ 247 ], + "Z": [ 252 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 245 ], + "C": [ 246 ], + "D": [ 247 ], + "Z": [ 253 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 245 ], + "C": [ 246 ], + "D": [ 247 ], + "Z": [ 254 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 245 ], + "C": [ 246 ], + "D": [ 247 ], + "Z": [ 255 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 249 ], + "BLUT": [ 248 ], + "C0": [ 256 ], + "Z": [ 257 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 251 ], + "BLUT": [ 250 ], + "C0": [ 256 ], + "Z": [ 258 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 253 ], + "BLUT": [ 252 ], + "C0": [ 256 ], + "Z": [ 259 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 255 ], + "BLUT": [ 254 ], + "C0": [ 256 ], + "Z": [ 260 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 257 ], + "D1": [ 258 ], + "SD": [ 261 ], + "Z": [ 262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 259 ], + "D1": [ 260 ], + "SD": [ 261 ], + "Z": [ 263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 262 ], + "D1": [ 263 ], + "SD": [ 264 ], + "Z": [ 223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39299.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 265 ], + "B": [ 266 ], + "C": [ 267 ], + "D": [ 268 ], + "Z": [ 269 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39299.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 265 ], + "B": [ 266 ], + "C": [ 267 ], + "D": [ 268 ], + "Z": [ 270 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39299.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 270 ], + "BLUT": [ 269 ], + "C0": [ 271 ], + "Z": [ 261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39300.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 5 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 272 ], + "B": [ 273 ], + "C": [ 274 ], + "D": [ 275 ], + "Z": [ 276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39300.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 272 ], + "B": [ 273 ], + "C": [ 274 ], + "D": [ 275 ], + "Z": [ 277 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39300.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 277 ], + "BLUT": [ 276 ], + "C0": [ 278 ], + "Z": [ 268 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39301": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 279 ], + "B": [ 280 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 278 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 281 ], + "B": [ 282 ], + "C": [ 283 ], + "D": [ 284 ], + "Z": [ 285 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 281 ], + "B": [ 282 ], + "C": [ 283 ], + "D": [ 284 ], + "Z": [ 286 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 281 ], + "B": [ 282 ], + "C": [ 283 ], + "D": [ 284 ], + "Z": [ 287 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 281 ], + "B": [ 282 ], + "C": [ 283 ], + "D": [ 284 ], + "Z": [ 288 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 281 ], + "B": [ 282 ], + "C": [ 283 ], + "D": [ 284 ], + "Z": [ 289 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 281 ], + "B": [ 282 ], + "C": [ 283 ], + "D": [ 284 ], + "Z": [ 290 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 281 ], + "B": [ 282 ], + "C": [ 283 ], + "D": [ 284 ], + "Z": [ 291 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 281 ], + "B": [ 282 ], + "C": [ 283 ], + "D": [ 284 ], + "Z": [ 292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 286 ], + "BLUT": [ 285 ], + "C0": [ 293 ], + "Z": [ 294 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 288 ], + "BLUT": [ 287 ], + "C0": [ 293 ], + "Z": [ 295 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 290 ], + "BLUT": [ 289 ], + "C0": [ 293 ], + "Z": [ 296 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 292 ], + "BLUT": [ 291 ], + "C0": [ 293 ], + "Z": [ 297 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 294 ], + "D1": [ 295 ], + "SD": [ 298 ], + "Z": [ 299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 296 ], + "D1": [ 297 ], + "SD": [ 298 ], + "Z": [ 300 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 299 ], + "D1": [ 300 ], + "SD": [ 301 ], + "Z": [ 279 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 302 ], + "B": [ 303 ], + "C": [ 304 ], + "D": [ 305 ], + "Z": [ 306 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 302 ], + "B": [ 303 ], + "C": [ 304 ], + "D": [ 305 ], + "Z": [ 307 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 302 ], + "B": [ 303 ], + "C": [ 304 ], + "D": [ 305 ], + "Z": [ 308 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 302 ], + "B": [ 303 ], + "C": [ 304 ], + "D": [ 305 ], + "Z": [ 309 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 302 ], + "B": [ 303 ], + "C": [ 304 ], + "D": [ 305 ], + "Z": [ 310 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 302 ], + "B": [ 303 ], + "C": [ 304 ], + "D": [ 305 ], + "Z": [ 311 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 302 ], + "B": [ 303 ], + "C": [ 304 ], + "D": [ 305 ], + "Z": [ 312 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 302 ], + "B": [ 303 ], + "C": [ 304 ], + "D": [ 305 ], + "Z": [ 313 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 307 ], + "BLUT": [ 306 ], + "C0": [ 314 ], + "Z": [ 315 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 309 ], + "BLUT": [ 308 ], + "C0": [ 314 ], + "Z": [ 316 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 311 ], + "BLUT": [ 310 ], + "C0": [ 314 ], + "Z": [ 317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 313 ], + "BLUT": [ 312 ], + "C0": [ 314 ], + "Z": [ 318 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 315 ], + "D1": [ 316 ], + "SD": [ 319 ], + "Z": [ 320 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 317 ], + "D1": [ 318 ], + "SD": [ 319 ], + "Z": [ 321 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 320 ], + "D1": [ 321 ], + "SD": [ 322 ], + "Z": [ 281 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39304.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 323 ], + "B": [ 324 ], + "C": [ 325 ], + "D": [ 326 ], + "Z": [ 327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39304.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 323 ], + "B": [ 324 ], + "C": [ 325 ], + "D": [ 326 ], + "Z": [ 328 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39304.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 328 ], + "BLUT": [ 327 ], + "C0": [ 329 ], + "Z": [ 322 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 330 ], + "B": [ 331 ], + "C": [ 332 ], + "D": [ 333 ], + "Z": [ 334 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 330 ], + "B": [ 331 ], + "C": [ 332 ], + "D": [ 333 ], + "Z": [ 335 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 330 ], + "B": [ 331 ], + "C": [ 332 ], + "D": [ 333 ], + "Z": [ 336 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 330 ], + "B": [ 331 ], + "C": [ 332 ], + "D": [ 333 ], + "Z": [ 337 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 330 ], + "B": [ 331 ], + "C": [ 332 ], + "D": [ 333 ], + "Z": [ 338 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 330 ], + "B": [ 331 ], + "C": [ 332 ], + "D": [ 333 ], + "Z": [ 339 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 330 ], + "B": [ 331 ], + "C": [ 332 ], + "D": [ 333 ], + "Z": [ 340 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 330 ], + "B": [ 331 ], + "C": [ 332 ], + "D": [ 333 ], + "Z": [ 341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 335 ], + "BLUT": [ 334 ], + "C0": [ 342 ], + "Z": [ 343 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 337 ], + "BLUT": [ 336 ], + "C0": [ 342 ], + "Z": [ 344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 339 ], + "BLUT": [ 338 ], + "C0": [ 342 ], + "Z": [ 345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 341 ], + "BLUT": [ 340 ], + "C0": [ 342 ], + "Z": [ 346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 343 ], + "D1": [ 344 ], + "SD": [ 347 ], + "Z": [ 348 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 345 ], + "D1": [ 346 ], + "SD": [ 347 ], + "Z": [ 349 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 348 ], + "D1": [ 349 ], + "SD": [ 350 ], + "Z": [ 326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39306.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 351 ], + "B": [ 352 ], + "C": [ 353 ], + "D": [ 354 ], + "Z": [ 355 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39306.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 351 ], + "B": [ 352 ], + "C": [ 353 ], + "D": [ 354 ], + "Z": [ 356 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39306.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 356 ], + "BLUT": [ 355 ], + "C0": [ 357 ], + "Z": [ 350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 358 ], + "B": [ 359 ], + "C": [ 360 ], + "D": [ 361 ], + "Z": [ 362 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 358 ], + "B": [ 359 ], + "C": [ 360 ], + "D": [ 361 ], + "Z": [ 363 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 358 ], + "B": [ 359 ], + "C": [ 360 ], + "D": [ 361 ], + "Z": [ 364 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 358 ], + "B": [ 359 ], + "C": [ 360 ], + "D": [ 361 ], + "Z": [ 365 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 358 ], + "B": [ 359 ], + "C": [ 360 ], + "D": [ 361 ], + "Z": [ 366 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 358 ], + "B": [ 359 ], + "C": [ 360 ], + "D": [ 361 ], + "Z": [ 367 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 358 ], + "B": [ 359 ], + "C": [ 360 ], + "D": [ 361 ], + "Z": [ 368 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 358 ], + "B": [ 359 ], + "C": [ 360 ], + "D": [ 361 ], + "Z": [ 369 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 363 ], + "BLUT": [ 362 ], + "C0": [ 370 ], + "Z": [ 371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 365 ], + "BLUT": [ 364 ], + "C0": [ 370 ], + "Z": [ 372 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 367 ], + "BLUT": [ 366 ], + "C0": [ 370 ], + "Z": [ 373 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 369 ], + "BLUT": [ 368 ], + "C0": [ 370 ], + "Z": [ 374 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 371 ], + "D1": [ 372 ], + "SD": [ 375 ], + "Z": [ 376 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 373 ], + "D1": [ 374 ], + "SD": [ 375 ], + "Z": [ 377 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 376 ], + "D1": [ 377 ], + "SD": [ 378 ], + "Z": [ 357 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39308": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 379 ], + "B": [ 380 ], + "C": [ 381 ], + "D": [ 382 ], + "Z": [ 375 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 383 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 387 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 383 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 383 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 389 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 383 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 390 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 383 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 391 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 383 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 392 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 383 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 393 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 383 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 394 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 388 ], + "BLUT": [ 387 ], + "C0": [ 395 ], + "Z": [ 396 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 390 ], + "BLUT": [ 389 ], + "C0": [ 395 ], + "Z": [ 397 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 392 ], + "BLUT": [ 391 ], + "C0": [ 395 ], + "Z": [ 398 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 394 ], + "BLUT": [ 393 ], + "C0": [ 395 ], + "Z": [ 399 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 396 ], + "D1": [ 397 ], + "SD": [ 400 ], + "Z": [ 401 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 398 ], + "D1": [ 399 ], + "SD": [ 400 ], + "Z": [ 402 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 401 ], + "D1": [ 402 ], + "SD": [ 403 ], + "Z": [ 379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 404 ], + "B": [ 405 ], + "C": [ 406 ], + "D": [ 407 ], + "Z": [ 408 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 404 ], + "B": [ 405 ], + "C": [ 406 ], + "D": [ 407 ], + "Z": [ 409 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 404 ], + "B": [ 405 ], + "C": [ 406 ], + "D": [ 407 ], + "Z": [ 410 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 404 ], + "B": [ 405 ], + "C": [ 406 ], + "D": [ 407 ], + "Z": [ 411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 409 ], + "BLUT": [ 408 ], + "C0": [ 412 ], + "Z": [ 413 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 411 ], + "BLUT": [ 410 ], + "C0": [ 412 ], + "Z": [ 414 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 413 ], + "D1": [ 414 ], + "SD": [ 415 ], + "Z": [ 384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 416 ], + "B": [ 417 ], + "C": [ 418 ], + "D": [ 419 ], + "Z": [ 420 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 416 ], + "B": [ 417 ], + "C": [ 418 ], + "D": [ 419 ], + "Z": [ 421 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 416 ], + "B": [ 417 ], + "C": [ 418 ], + "D": [ 419 ], + "Z": [ 422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 416 ], + "B": [ 417 ], + "C": [ 418 ], + "D": [ 419 ], + "Z": [ 423 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 421 ], + "BLUT": [ 420 ], + "C0": [ 424 ], + "Z": [ 425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 423 ], + "BLUT": [ 422 ], + "C0": [ 424 ], + "Z": [ 426 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 425 ], + "D1": [ 426 ], + "SD": [ 427 ], + "Z": [ 407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 428 ], + "B": [ 429 ], + "C": [ 430 ], + "D": [ 431 ], + "Z": [ 432 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 428 ], + "B": [ 429 ], + "C": [ 430 ], + "D": [ 431 ], + "Z": [ 433 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 428 ], + "B": [ 429 ], + "C": [ 430 ], + "D": [ 431 ], + "Z": [ 434 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 428 ], + "B": [ 429 ], + "C": [ 430 ], + "D": [ 431 ], + "Z": [ 435 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 428 ], + "B": [ 429 ], + "C": [ 430 ], + "D": [ 431 ], + "Z": [ 436 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 428 ], + "B": [ 429 ], + "C": [ 430 ], + "D": [ 431 ], + "Z": [ 437 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 428 ], + "B": [ 429 ], + "C": [ 430 ], + "D": [ 431 ], + "Z": [ 438 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 428 ], + "B": [ 429 ], + "C": [ 430 ], + "D": [ 431 ], + "Z": [ 439 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 433 ], + "BLUT": [ 432 ], + "C0": [ 440 ], + "Z": [ 441 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 435 ], + "BLUT": [ 434 ], + "C0": [ 440 ], + "Z": [ 442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 437 ], + "BLUT": [ 436 ], + "C0": [ 440 ], + "Z": [ 443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 439 ], + "BLUT": [ 438 ], + "C0": [ 440 ], + "Z": [ 444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 441 ], + "D1": [ 442 ], + "SD": [ 445 ], + "Z": [ 446 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 443 ], + "D1": [ 444 ], + "SD": [ 445 ], + "Z": [ 447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 446 ], + "D1": [ 447 ], + "SD": [ 448 ], + "Z": [ 424 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 449 ], + "B": [ 450 ], + "C": [ 451 ], + "D": [ 452 ], + "Z": [ 453 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 449 ], + "B": [ 450 ], + "C": [ 451 ], + "D": [ 452 ], + "Z": [ 454 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 449 ], + "B": [ 450 ], + "C": [ 451 ], + "D": [ 452 ], + "Z": [ 455 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 449 ], + "B": [ 450 ], + "C": [ 451 ], + "D": [ 452 ], + "Z": [ 456 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 449 ], + "B": [ 450 ], + "C": [ 451 ], + "D": [ 452 ], + "Z": [ 457 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 449 ], + "B": [ 450 ], + "C": [ 451 ], + "D": [ 452 ], + "Z": [ 458 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 449 ], + "B": [ 450 ], + "C": [ 451 ], + "D": [ 452 ], + "Z": [ 459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 449 ], + "B": [ 450 ], + "C": [ 451 ], + "D": [ 452 ], + "Z": [ 460 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 454 ], + "BLUT": [ 453 ], + "C0": [ 461 ], + "Z": [ 462 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 456 ], + "BLUT": [ 455 ], + "C0": [ 461 ], + "Z": [ 463 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 458 ], + "BLUT": [ 457 ], + "C0": [ 461 ], + "Z": [ 464 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 460 ], + "BLUT": [ 459 ], + "C0": [ 461 ], + "Z": [ 465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 462 ], + "D1": [ 463 ], + "SD": [ 466 ], + "Z": [ 467 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 464 ], + "D1": [ 465 ], + "SD": [ 466 ], + "Z": [ 468 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 467 ], + "D1": [ 468 ], + "SD": [ 469 ], + "Z": [ 428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39314.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 470 ], + "B": [ 471 ], + "C": [ 472 ], + "D": [ 473 ], + "Z": [ 474 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39314.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 470 ], + "B": [ 471 ], + "C": [ 472 ], + "D": [ 473 ], + "Z": [ 475 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39314.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 475 ], + "BLUT": [ 474 ], + "C0": [ 476 ], + "Z": [ 469 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 477 ], + "B": [ 478 ], + "C": [ 479 ], + "D": [ 480 ], + "Z": [ 481 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 477 ], + "B": [ 478 ], + "C": [ 479 ], + "D": [ 480 ], + "Z": [ 482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 477 ], + "B": [ 478 ], + "C": [ 479 ], + "D": [ 480 ], + "Z": [ 483 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 477 ], + "B": [ 478 ], + "C": [ 479 ], + "D": [ 480 ], + "Z": [ 484 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 477 ], + "B": [ 478 ], + "C": [ 479 ], + "D": [ 480 ], + "Z": [ 485 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 477 ], + "B": [ 478 ], + "C": [ 479 ], + "D": [ 480 ], + "Z": [ 486 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 477 ], + "B": [ 478 ], + "C": [ 479 ], + "D": [ 480 ], + "Z": [ 487 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 477 ], + "B": [ 478 ], + "C": [ 479 ], + "D": [ 480 ], + "Z": [ 488 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 482 ], + "BLUT": [ 481 ], + "C0": [ 489 ], + "Z": [ 490 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 484 ], + "BLUT": [ 483 ], + "C0": [ 489 ], + "Z": [ 491 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 486 ], + "BLUT": [ 485 ], + "C0": [ 489 ], + "Z": [ 492 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 488 ], + "BLUT": [ 487 ], + "C0": [ 489 ], + "Z": [ 493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 490 ], + "D1": [ 491 ], + "SD": [ 494 ], + "Z": [ 495 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 492 ], + "D1": [ 493 ], + "SD": [ 494 ], + "Z": [ 496 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 495 ], + "D1": [ 496 ], + "SD": [ 497 ], + "Z": [ 473 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 498 ], + "B": [ 499 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 502 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 498 ], + "B": [ 499 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 503 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 20479 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 498 ], + "B": [ 499 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 504 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 498 ], + "B": [ 499 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 505 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 498 ], + "B": [ 499 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 498 ], + "B": [ 499 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 507 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 498 ], + "B": [ 499 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 508 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 498 ], + "B": [ 499 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 509 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 503 ], + "BLUT": [ 502 ], + "C0": [ 510 ], + "Z": [ 511 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 505 ], + "BLUT": [ 504 ], + "C0": [ 510 ], + "Z": [ 512 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 507 ], + "BLUT": [ 506 ], + "C0": [ 510 ], + "Z": [ 513 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 509 ], + "BLUT": [ 508 ], + "C0": [ 510 ], + "Z": [ 514 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 511 ], + "D1": [ 512 ], + "SD": [ 515 ], + "Z": [ 516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 513 ], + "D1": [ 514 ], + "SD": [ 515 ], + "Z": [ 517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 516 ], + "D1": [ 517 ], + "SD": [ 518 ], + "Z": [ 497 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39317": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 519 ], + "B": [ 520 ], + "C": [ 521 ], + "D": [ 522 ], + "Z": [ 500 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 523 ], + "B": [ 524 ], + "C": [ 525 ], + "D": [ 526 ], + "Z": [ 527 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 523 ], + "B": [ 524 ], + "C": [ 525 ], + "D": [ 526 ], + "Z": [ 528 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 523 ], + "B": [ 524 ], + "C": [ 525 ], + "D": [ 526 ], + "Z": [ 529 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 523 ], + "B": [ 524 ], + "C": [ 525 ], + "D": [ 526 ], + "Z": [ 530 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 523 ], + "B": [ 524 ], + "C": [ 525 ], + "D": [ 526 ], + "Z": [ 531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 523 ], + "B": [ 524 ], + "C": [ 525 ], + "D": [ 526 ], + "Z": [ 532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 523 ], + "B": [ 524 ], + "C": [ 525 ], + "D": [ 526 ], + "Z": [ 533 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 523 ], + "B": [ 524 ], + "C": [ 525 ], + "D": [ 526 ], + "Z": [ 534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 528 ], + "BLUT": [ 527 ], + "C0": [ 535 ], + "Z": [ 536 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 530 ], + "BLUT": [ 529 ], + "C0": [ 535 ], + "Z": [ 537 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 532 ], + "BLUT": [ 531 ], + "C0": [ 535 ], + "Z": [ 538 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 534 ], + "BLUT": [ 533 ], + "C0": [ 535 ], + "Z": [ 539 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 536 ], + "D1": [ 537 ], + "SD": [ 540 ], + "Z": [ 541 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 538 ], + "D1": [ 539 ], + "SD": [ 540 ], + "Z": [ 542 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 541 ], + "D1": [ 542 ], + "SD": [ 543 ], + "Z": [ 519 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39319.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 544 ], + "B": [ 545 ], + "C": [ 546 ], + "D": [ 547 ], + "Z": [ 548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39319.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 544 ], + "B": [ 545 ], + "C": [ 546 ], + "D": [ 547 ], + "Z": [ 549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39319.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 549 ], + "BLUT": [ 548 ], + "C0": [ 550 ], + "Z": [ 543 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 555 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 556 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 557 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 558 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 559 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 560 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 552 ], + "C": [ 553 ], + "D": [ 554 ], + "Z": [ 562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 556 ], + "BLUT": [ 555 ], + "C0": [ 563 ], + "Z": [ 564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 558 ], + "BLUT": [ 557 ], + "C0": [ 563 ], + "Z": [ 565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 560 ], + "BLUT": [ 559 ], + "C0": [ 563 ], + "Z": [ 566 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 562 ], + "BLUT": [ 561 ], + "C0": [ 563 ], + "Z": [ 567 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 564 ], + "D1": [ 565 ], + "SD": [ 568 ], + "Z": [ 569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 566 ], + "D1": [ 567 ], + "SD": [ 568 ], + "Z": [ 570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 569 ], + "D1": [ 570 ], + "SD": [ 571 ], + "Z": [ 547 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39321.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 572 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 575 ], + "Z": [ 576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39321.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 572 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 575 ], + "Z": [ 577 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39321.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 577 ], + "BLUT": [ 576 ], + "C0": [ 578 ], + "Z": [ 551 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39322": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 579 ], + "B": [ 580 ], + "C": [ 581 ], + "D": [ 582 ], + "Z": [ 573 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 583 ], + "B": [ 584 ], + "C": [ 585 ], + "D": [ 586 ], + "Z": [ 587 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 583 ], + "B": [ 584 ], + "C": [ 585 ], + "D": [ 586 ], + "Z": [ 588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 583 ], + "B": [ 584 ], + "C": [ 585 ], + "D": [ 586 ], + "Z": [ 589 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 583 ], + "B": [ 584 ], + "C": [ 585 ], + "D": [ 586 ], + "Z": [ 590 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 583 ], + "B": [ 584 ], + "C": [ 585 ], + "D": [ 586 ], + "Z": [ 591 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 583 ], + "B": [ 584 ], + "C": [ 585 ], + "D": [ 586 ], + "Z": [ 592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 583 ], + "B": [ 584 ], + "C": [ 585 ], + "D": [ 586 ], + "Z": [ 593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 583 ], + "B": [ 584 ], + "C": [ 585 ], + "D": [ 586 ], + "Z": [ 594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 588 ], + "BLUT": [ 587 ], + "C0": [ 595 ], + "Z": [ 596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 590 ], + "BLUT": [ 589 ], + "C0": [ 595 ], + "Z": [ 597 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 592 ], + "BLUT": [ 591 ], + "C0": [ 595 ], + "Z": [ 598 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 594 ], + "BLUT": [ 593 ], + "C0": [ 595 ], + "Z": [ 599 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 596 ], + "D1": [ 597 ], + "SD": [ 600 ], + "Z": [ 601 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 598 ], + "D1": [ 599 ], + "SD": [ 600 ], + "Z": [ 602 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 601 ], + "D1": [ 602 ], + "SD": [ 603 ], + "Z": [ 579 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39324.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 608 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39324.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39324.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 609 ], + "BLUT": [ 608 ], + "C0": [ 610 ], + "Z": [ 603 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 611 ], + "B": [ 612 ], + "C": [ 613 ], + "D": [ 614 ], + "Z": [ 615 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 611 ], + "B": [ 612 ], + "C": [ 613 ], + "D": [ 614 ], + "Z": [ 616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 611 ], + "B": [ 612 ], + "C": [ 613 ], + "D": [ 614 ], + "Z": [ 617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 611 ], + "B": [ 612 ], + "C": [ 613 ], + "D": [ 614 ], + "Z": [ 618 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 611 ], + "B": [ 612 ], + "C": [ 613 ], + "D": [ 614 ], + "Z": [ 619 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 611 ], + "B": [ 612 ], + "C": [ 613 ], + "D": [ 614 ], + "Z": [ 620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 611 ], + "B": [ 612 ], + "C": [ 613 ], + "D": [ 614 ], + "Z": [ 621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 611 ], + "B": [ 612 ], + "C": [ 613 ], + "D": [ 614 ], + "Z": [ 622 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 616 ], + "BLUT": [ 615 ], + "C0": [ 623 ], + "Z": [ 624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 618 ], + "BLUT": [ 617 ], + "C0": [ 623 ], + "Z": [ 625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 620 ], + "BLUT": [ 619 ], + "C0": [ 623 ], + "Z": [ 626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 622 ], + "BLUT": [ 621 ], + "C0": [ 623 ], + "Z": [ 627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 624 ], + "D1": [ 625 ], + "SD": [ 586 ], + "Z": [ 628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 626 ], + "D1": [ 627 ], + "SD": [ 586 ], + "Z": [ 629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 628 ], + "D1": [ 629 ], + "SD": [ 630 ], + "Z": [ 607 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39326.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 631 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 634 ], + "Z": [ 635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39326.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 631 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 634 ], + "Z": [ 636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39326.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 636 ], + "BLUT": [ 635 ], + "C0": [ 637 ], + "Z": [ 611 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39327": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 638 ], + "B": [ 639 ], + "C": [ 640 ], + "D": [ 641 ], + "Z": [ 632 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 642 ], + "B": [ 643 ], + "C": [ 644 ], + "D": [ 645 ], + "Z": [ 646 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 642 ], + "B": [ 643 ], + "C": [ 644 ], + "D": [ 645 ], + "Z": [ 647 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 642 ], + "B": [ 643 ], + "C": [ 644 ], + "D": [ 645 ], + "Z": [ 648 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 642 ], + "B": [ 643 ], + "C": [ 644 ], + "D": [ 645 ], + "Z": [ 649 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 642 ], + "B": [ 643 ], + "C": [ 644 ], + "D": [ 645 ], + "Z": [ 650 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 642 ], + "B": [ 643 ], + "C": [ 644 ], + "D": [ 645 ], + "Z": [ 651 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 642 ], + "B": [ 643 ], + "C": [ 644 ], + "D": [ 645 ], + "Z": [ 652 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 642 ], + "B": [ 643 ], + "C": [ 644 ], + "D": [ 645 ], + "Z": [ 653 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 647 ], + "BLUT": [ 646 ], + "C0": [ 654 ], + "Z": [ 655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 649 ], + "BLUT": [ 648 ], + "C0": [ 654 ], + "Z": [ 656 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 651 ], + "BLUT": [ 650 ], + "C0": [ 654 ], + "Z": [ 657 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 653 ], + "BLUT": [ 652 ], + "C0": [ 654 ], + "Z": [ 658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 655 ], + "D1": [ 656 ], + "SD": [ 659 ], + "Z": [ 660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 657 ], + "D1": [ 658 ], + "SD": [ 659 ], + "Z": [ 661 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 660 ], + "D1": [ 661 ], + "SD": [ 662 ], + "Z": [ 638 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39329": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 127 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 663 ], + "B": [ 664 ], + "C": [ 665 ], + "D": [ 666 ], + "Z": [ 662 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 672 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 676 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 677 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 672 ], + "BLUT": [ 671 ], + "C0": [ 679 ], + "Z": [ 680 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 674 ], + "BLUT": [ 673 ], + "C0": [ 679 ], + "Z": [ 681 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 676 ], + "BLUT": [ 675 ], + "C0": [ 679 ], + "Z": [ 682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 678 ], + "BLUT": [ 677 ], + "C0": [ 679 ], + "Z": [ 683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 680 ], + "D1": [ 681 ], + "SD": [ 684 ], + "Z": [ 685 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 682 ], + "D1": [ 683 ], + "SD": [ 684 ], + "Z": [ 686 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 685 ], + "D1": [ 686 ], + "SD": [ 687 ], + "Z": [ 665 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39331": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 688 ], + "B": [ 689 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 667 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 691 ], + "B": [ 692 ], + "C": [ 693 ], + "D": [ 694 ], + "Z": [ 695 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 691 ], + "B": [ 692 ], + "C": [ 693 ], + "D": [ 694 ], + "Z": [ 696 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 691 ], + "B": [ 692 ], + "C": [ 693 ], + "D": [ 694 ], + "Z": [ 697 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 691 ], + "B": [ 692 ], + "C": [ 693 ], + "D": [ 694 ], + "Z": [ 698 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 691 ], + "B": [ 692 ], + "C": [ 693 ], + "D": [ 694 ], + "Z": [ 699 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 691 ], + "B": [ 692 ], + "C": [ 693 ], + "D": [ 694 ], + "Z": [ 700 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 691 ], + "B": [ 692 ], + "C": [ 693 ], + "D": [ 694 ], + "Z": [ 701 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 691 ], + "B": [ 692 ], + "C": [ 693 ], + "D": [ 694 ], + "Z": [ 702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 696 ], + "BLUT": [ 695 ], + "C0": [ 703 ], + "Z": [ 704 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 698 ], + "BLUT": [ 697 ], + "C0": [ 703 ], + "Z": [ 705 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 700 ], + "BLUT": [ 699 ], + "C0": [ 703 ], + "Z": [ 706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 702 ], + "BLUT": [ 701 ], + "C0": [ 703 ], + "Z": [ 707 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 704 ], + "D1": [ 705 ], + "SD": [ 708 ], + "Z": [ 709 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 706 ], + "D1": [ 707 ], + "SD": [ 708 ], + "Z": [ 710 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 709 ], + "D1": [ 710 ], + "SD": [ 711 ], + "Z": [ 690 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 712 ], + "B": [ 713 ], + "C": [ 714 ], + "D": [ 715 ], + "Z": [ 716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 712 ], + "B": [ 713 ], + "C": [ 714 ], + "D": [ 715 ], + "Z": [ 717 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 712 ], + "B": [ 713 ], + "C": [ 714 ], + "D": [ 715 ], + "Z": [ 718 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 712 ], + "B": [ 713 ], + "C": [ 714 ], + "D": [ 715 ], + "Z": [ 719 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 717 ], + "BLUT": [ 716 ], + "C0": [ 720 ], + "Z": [ 721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 719 ], + "BLUT": [ 718 ], + "C0": [ 720 ], + "Z": [ 722 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 721 ], + "D1": [ 722 ], + "SD": [ 723 ], + "Z": [ 708 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 724 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 728 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 724 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 729 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 724 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 730 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 724 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 731 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 724 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 732 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 724 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 733 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 724 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 724 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 735 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 729 ], + "BLUT": [ 728 ], + "C0": [ 736 ], + "Z": [ 737 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 731 ], + "BLUT": [ 730 ], + "C0": [ 736 ], + "Z": [ 738 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 733 ], + "BLUT": [ 732 ], + "C0": [ 736 ], + "Z": [ 739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 735 ], + "BLUT": [ 734 ], + "C0": [ 736 ], + "Z": [ 740 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 737 ], + "D1": [ 738 ], + "SD": [ 741 ], + "Z": [ 742 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 739 ], + "D1": [ 740 ], + "SD": [ 741 ], + "Z": [ 743 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 742 ], + "D1": [ 743 ], + "SD": [ 744 ], + "Z": [ 712 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 745 ], + "B": [ 746 ], + "C": [ 747 ], + "D": [ 748 ], + "Z": [ 749 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 745 ], + "B": [ 746 ], + "C": [ 747 ], + "D": [ 748 ], + "Z": [ 750 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 745 ], + "B": [ 746 ], + "C": [ 747 ], + "D": [ 748 ], + "Z": [ 751 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 745 ], + "B": [ 746 ], + "C": [ 747 ], + "D": [ 748 ], + "Z": [ 752 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 745 ], + "B": [ 746 ], + "C": [ 747 ], + "D": [ 748 ], + "Z": [ 753 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 745 ], + "B": [ 746 ], + "C": [ 747 ], + "D": [ 748 ], + "Z": [ 754 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 745 ], + "B": [ 746 ], + "C": [ 747 ], + "D": [ 748 ], + "Z": [ 755 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 745 ], + "B": [ 746 ], + "C": [ 747 ], + "D": [ 748 ], + "Z": [ 756 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 750 ], + "BLUT": [ 749 ], + "C0": [ 757 ], + "Z": [ 758 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 752 ], + "BLUT": [ 751 ], + "C0": [ 757 ], + "Z": [ 759 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 754 ], + "BLUT": [ 753 ], + "C0": [ 757 ], + "Z": [ 760 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 756 ], + "BLUT": [ 755 ], + "C0": [ 757 ], + "Z": [ 761 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 758 ], + "D1": [ 759 ], + "SD": [ 762 ], + "Z": [ 763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 760 ], + "D1": [ 761 ], + "SD": [ 762 ], + "Z": [ 764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 763 ], + "D1": [ 764 ], + "SD": [ 765 ], + "Z": [ 725 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39336.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 766 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 769 ], + "Z": [ 770 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39336.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 766 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 769 ], + "Z": [ 771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39336.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 771 ], + "BLUT": [ 770 ], + "C0": [ 772 ], + "Z": [ 745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 773 ], + "B": [ 774 ], + "C": [ 775 ], + "D": [ 776 ], + "Z": [ 777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 773 ], + "B": [ 774 ], + "C": [ 775 ], + "D": [ 776 ], + "Z": [ 778 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 773 ], + "B": [ 774 ], + "C": [ 775 ], + "D": [ 776 ], + "Z": [ 779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 773 ], + "B": [ 774 ], + "C": [ 775 ], + "D": [ 776 ], + "Z": [ 780 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 773 ], + "B": [ 774 ], + "C": [ 775 ], + "D": [ 776 ], + "Z": [ 781 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 773 ], + "B": [ 774 ], + "C": [ 775 ], + "D": [ 776 ], + "Z": [ 782 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 773 ], + "B": [ 774 ], + "C": [ 775 ], + "D": [ 776 ], + "Z": [ 783 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 773 ], + "B": [ 774 ], + "C": [ 775 ], + "D": [ 776 ], + "Z": [ 784 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 778 ], + "BLUT": [ 777 ], + "C0": [ 785 ], + "Z": [ 786 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 780 ], + "BLUT": [ 779 ], + "C0": [ 785 ], + "Z": [ 787 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 782 ], + "BLUT": [ 781 ], + "C0": [ 785 ], + "Z": [ 788 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 784 ], + "BLUT": [ 783 ], + "C0": [ 785 ], + "Z": [ 789 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 786 ], + "D1": [ 787 ], + "SD": [ 790 ], + "Z": [ 791 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 788 ], + "D1": [ 789 ], + "SD": [ 790 ], + "Z": [ 792 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 791 ], + "D1": [ 792 ], + "SD": [ 793 ], + "Z": [ 767 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 794 ], + "B": [ 795 ], + "C": [ 796 ], + "D": [ 797 ], + "Z": [ 798 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 794 ], + "B": [ 795 ], + "C": [ 796 ], + "D": [ 797 ], + "Z": [ 799 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 794 ], + "B": [ 795 ], + "C": [ 796 ], + "D": [ 797 ], + "Z": [ 800 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 794 ], + "B": [ 795 ], + "C": [ 796 ], + "D": [ 797 ], + "Z": [ 801 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 794 ], + "B": [ 795 ], + "C": [ 796 ], + "D": [ 797 ], + "Z": [ 802 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 794 ], + "B": [ 795 ], + "C": [ 796 ], + "D": [ 797 ], + "Z": [ 803 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 794 ], + "B": [ 795 ], + "C": [ 796 ], + "D": [ 797 ], + "Z": [ 804 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 794 ], + "B": [ 795 ], + "C": [ 796 ], + "D": [ 797 ], + "Z": [ 805 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 799 ], + "BLUT": [ 798 ], + "C0": [ 769 ], + "Z": [ 806 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 801 ], + "BLUT": [ 800 ], + "C0": [ 769 ], + "Z": [ 807 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 803 ], + "BLUT": [ 802 ], + "C0": [ 769 ], + "Z": [ 808 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 805 ], + "BLUT": [ 804 ], + "C0": [ 769 ], + "Z": [ 809 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 806 ], + "D1": [ 807 ], + "SD": [ 810 ], + "Z": [ 811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 808 ], + "D1": [ 809 ], + "SD": [ 810 ], + "Z": [ 812 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 811 ], + "D1": [ 812 ], + "SD": [ 813 ], + "Z": [ 773 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39339.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 814 ], + "B": [ 815 ], + "C": [ 816 ], + "D": [ 817 ], + "Z": [ 818 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39339.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 814 ], + "B": [ 815 ], + "C": [ 816 ], + "D": [ 817 ], + "Z": [ 819 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39339.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 819 ], + "BLUT": [ 818 ], + "C0": [ 820 ], + "Z": [ 813 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39340.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 821 ], + "B": [ 822 ], + "C": [ 823 ], + "D": [ 824 ], + "Z": [ 825 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39340.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 821 ], + "B": [ 822 ], + "C": [ 823 ], + "D": [ 824 ], + "Z": [ 826 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39340.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 826 ], + "BLUT": [ 825 ], + "C0": [ 827 ], + "Z": [ 817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39341.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 828 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 831 ], + "Z": [ 832 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39341.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 828 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 831 ], + "Z": [ 833 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39341.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 833 ], + "BLUT": [ 832 ], + "C0": [ 834 ], + "Z": [ 821 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39342": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 835 ], + "B": [ 836 ], + "C": [ 837 ], + "D": [ 838 ], + "Z": [ 829 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39343": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 839 ], + "B": [ 840 ], + "C": [ 841 ], + "D": [ 842 ], + "Z": [ 835 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 843 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 847 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 843 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 848 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 843 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 849 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 843 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 850 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 843 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 851 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 843 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 852 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 843 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 843 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 854 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 848 ], + "BLUT": [ 847 ], + "C0": [ 855 ], + "Z": [ 856 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 850 ], + "BLUT": [ 849 ], + "C0": [ 855 ], + "Z": [ 857 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 852 ], + "BLUT": [ 851 ], + "C0": [ 855 ], + "Z": [ 858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 854 ], + "BLUT": [ 853 ], + "C0": [ 855 ], + "Z": [ 859 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 856 ], + "D1": [ 857 ], + "SD": [ 860 ], + "Z": [ 861 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 858 ], + "D1": [ 859 ], + "SD": [ 860 ], + "Z": [ 862 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 861 ], + "D1": [ 862 ], + "SD": [ 863 ], + "Z": [ 839 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 864 ], + "B": [ 865 ], + "C": [ 866 ], + "D": [ 867 ], + "Z": [ 868 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 864 ], + "B": [ 865 ], + "C": [ 866 ], + "D": [ 867 ], + "Z": [ 869 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 864 ], + "B": [ 865 ], + "C": [ 866 ], + "D": [ 867 ], + "Z": [ 870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 864 ], + "B": [ 865 ], + "C": [ 866 ], + "D": [ 867 ], + "Z": [ 871 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 864 ], + "B": [ 865 ], + "C": [ 866 ], + "D": [ 867 ], + "Z": [ 872 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 864 ], + "B": [ 865 ], + "C": [ 866 ], + "D": [ 867 ], + "Z": [ 873 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 864 ], + "B": [ 865 ], + "C": [ 866 ], + "D": [ 867 ], + "Z": [ 874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 864 ], + "B": [ 865 ], + "C": [ 866 ], + "D": [ 867 ], + "Z": [ 875 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 869 ], + "BLUT": [ 868 ], + "C0": [ 876 ], + "Z": [ 877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 871 ], + "BLUT": [ 870 ], + "C0": [ 876 ], + "Z": [ 878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 873 ], + "BLUT": [ 872 ], + "C0": [ 876 ], + "Z": [ 879 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 875 ], + "BLUT": [ 874 ], + "C0": [ 876 ], + "Z": [ 880 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 877 ], + "D1": [ 878 ], + "SD": [ 881 ], + "Z": [ 882 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 879 ], + "D1": [ 880 ], + "SD": [ 881 ], + "Z": [ 883 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 882 ], + "D1": [ 883 ], + "SD": [ 884 ], + "Z": [ 844 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39346.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 885 ], + "B": [ 886 ], + "C": [ 887 ], + "D": [ 888 ], + "Z": [ 889 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39346.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 885 ], + "B": [ 886 ], + "C": [ 887 ], + "D": [ 888 ], + "Z": [ 890 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39346.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 890 ], + "BLUT": [ 889 ], + "C0": [ 891 ], + "Z": [ 884 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 892 ], + "B": [ 893 ], + "C": [ 894 ], + "D": [ 895 ], + "Z": [ 896 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 892 ], + "B": [ 893 ], + "C": [ 894 ], + "D": [ 895 ], + "Z": [ 897 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 892 ], + "B": [ 893 ], + "C": [ 894 ], + "D": [ 895 ], + "Z": [ 898 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 892 ], + "B": [ 893 ], + "C": [ 894 ], + "D": [ 895 ], + "Z": [ 899 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 892 ], + "B": [ 893 ], + "C": [ 894 ], + "D": [ 895 ], + "Z": [ 900 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 892 ], + "B": [ 893 ], + "C": [ 894 ], + "D": [ 895 ], + "Z": [ 901 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 892 ], + "B": [ 893 ], + "C": [ 894 ], + "D": [ 895 ], + "Z": [ 902 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 892 ], + "B": [ 893 ], + "C": [ 894 ], + "D": [ 895 ], + "Z": [ 903 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 897 ], + "BLUT": [ 896 ], + "C0": [ 904 ], + "Z": [ 905 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 899 ], + "BLUT": [ 898 ], + "C0": [ 904 ], + "Z": [ 906 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 901 ], + "BLUT": [ 900 ], + "C0": [ 904 ], + "Z": [ 907 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 903 ], + "BLUT": [ 902 ], + "C0": [ 904 ], + "Z": [ 908 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 905 ], + "D1": [ 906 ], + "SD": [ 909 ], + "Z": [ 910 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 907 ], + "D1": [ 908 ], + "SD": [ 909 ], + "Z": [ 911 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 910 ], + "D1": [ 911 ], + "SD": [ 912 ], + "Z": [ 891 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 913 ], + "B": [ 914 ], + "C": [ 915 ], + "D": [ 916 ], + "Z": [ 917 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 913 ], + "B": [ 914 ], + "C": [ 915 ], + "D": [ 916 ], + "Z": [ 918 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 913 ], + "B": [ 914 ], + "C": [ 915 ], + "D": [ 916 ], + "Z": [ 919 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 913 ], + "B": [ 914 ], + "C": [ 915 ], + "D": [ 916 ], + "Z": [ 920 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 918 ], + "BLUT": [ 917 ], + "C0": [ 921 ], + "Z": [ 922 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 920 ], + "BLUT": [ 919 ], + "C0": [ 921 ], + "Z": [ 923 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 922 ], + "D1": [ 923 ], + "SD": [ 924 ], + "Z": [ 909 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 925 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 929 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 925 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 930 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 925 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 931 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 925 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 932 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 930 ], + "BLUT": [ 929 ], + "C0": [ 933 ], + "Z": [ 934 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 932 ], + "BLUT": [ 931 ], + "C0": [ 933 ], + "Z": [ 935 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 934 ], + "D1": [ 935 ], + "SD": [ 936 ], + "Z": [ 913 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39350": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 937 ], + "B": [ 938 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 926 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39351": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 939 ], + "B": [ 940 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 937 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 943 ], + "C": [ 944 ], + "D": [ 945 ], + "Z": [ 946 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 943 ], + "C": [ 944 ], + "D": [ 945 ], + "Z": [ 947 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 943 ], + "C": [ 944 ], + "D": [ 945 ], + "Z": [ 948 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 943 ], + "C": [ 944 ], + "D": [ 945 ], + "Z": [ 949 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 943 ], + "C": [ 944 ], + "D": [ 945 ], + "Z": [ 950 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 943 ], + "C": [ 944 ], + "D": [ 945 ], + "Z": [ 951 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 943 ], + "C": [ 944 ], + "D": [ 945 ], + "Z": [ 952 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 943 ], + "C": [ 944 ], + "D": [ 945 ], + "Z": [ 953 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 947 ], + "BLUT": [ 946 ], + "C0": [ 954 ], + "Z": [ 955 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 949 ], + "BLUT": [ 948 ], + "C0": [ 954 ], + "Z": [ 956 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 951 ], + "BLUT": [ 950 ], + "C0": [ 954 ], + "Z": [ 957 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 953 ], + "BLUT": [ 952 ], + "C0": [ 954 ], + "Z": [ 958 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 955 ], + "D1": [ 956 ], + "SD": [ 959 ], + "Z": [ 960 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 957 ], + "D1": [ 958 ], + "SD": [ 959 ], + "Z": [ 961 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 960 ], + "D1": [ 961 ], + "SD": [ 962 ], + "Z": [ 941 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39353.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 963 ], + "B": [ 964 ], + "C": [ 965 ], + "D": [ 966 ], + "Z": [ 967 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39353.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 963 ], + "B": [ 964 ], + "C": [ 965 ], + "D": [ 966 ], + "Z": [ 968 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39353.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 968 ], + "BLUT": [ 967 ], + "C0": [ 969 ], + "Z": [ 959 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 971 ], + "C": [ 972 ], + "D": [ 973 ], + "Z": [ 974 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 971 ], + "C": [ 972 ], + "D": [ 973 ], + "Z": [ 975 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 971 ], + "C": [ 972 ], + "D": [ 973 ], + "Z": [ 976 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 971 ], + "C": [ 972 ], + "D": [ 973 ], + "Z": [ 977 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 975 ], + "BLUT": [ 974 ], + "C0": [ 978 ], + "Z": [ 979 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 977 ], + "BLUT": [ 976 ], + "C0": [ 978 ], + "Z": [ 980 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 979 ], + "D1": [ 980 ], + "SD": [ 981 ], + "Z": [ 963 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 982 ], + "C": [ 983 ], + "D": [ 984 ], + "Z": [ 985 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 982 ], + "C": [ 983 ], + "D": [ 984 ], + "Z": [ 986 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 982 ], + "C": [ 983 ], + "D": [ 984 ], + "Z": [ 987 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 982 ], + "C": [ 983 ], + "D": [ 984 ], + "Z": [ 988 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 986 ], + "BLUT": [ 985 ], + "C0": [ 978 ], + "Z": [ 989 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 988 ], + "BLUT": [ 987 ], + "C0": [ 978 ], + "Z": [ 990 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 989 ], + "D1": [ 990 ], + "SD": [ 981 ], + "Z": [ 964 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 991 ], + "C": [ 992 ], + "D": [ 993 ], + "Z": [ 994 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 991 ], + "C": [ 992 ], + "D": [ 993 ], + "Z": [ 995 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 991 ], + "C": [ 992 ], + "D": [ 993 ], + "Z": [ 996 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 991 ], + "C": [ 992 ], + "D": [ 993 ], + "Z": [ 997 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 995 ], + "BLUT": [ 994 ], + "C0": [ 978 ], + "Z": [ 998 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 997 ], + "BLUT": [ 996 ], + "C0": [ 978 ], + "Z": [ 999 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 998 ], + "D1": [ 999 ], + "SD": [ 981 ], + "Z": [ 965 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 1002 ], + "D": [ 1003 ], + "Z": [ 1004 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52428 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 1002 ], + "D": [ 1003 ], + "Z": [ 1005 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 1002 ], + "D": [ 1003 ], + "Z": [ 1006 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 1002 ], + "D": [ 1003 ], + "Z": [ 1007 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 1002 ], + "D": [ 1003 ], + "Z": [ 1008 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 1002 ], + "D": [ 1003 ], + "Z": [ 1009 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 1002 ], + "D": [ 1003 ], + "Z": [ 1010 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 1002 ], + "D": [ 1003 ], + "Z": [ 1011 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1005 ], + "BLUT": [ 1004 ], + "C0": [ 970 ], + "Z": [ 1012 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1007 ], + "BLUT": [ 1006 ], + "C0": [ 970 ], + "Z": [ 1013 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1009 ], + "BLUT": [ 1008 ], + "C0": [ 970 ], + "Z": [ 1014 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1011 ], + "BLUT": [ 1010 ], + "C0": [ 970 ], + "Z": [ 1015 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1012 ], + "D1": [ 1013 ], + "SD": [ 978 ], + "Z": [ 1016 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1014 ], + "D1": [ 1015 ], + "SD": [ 978 ], + "Z": [ 1017 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1016 ], + "D1": [ 1017 ], + "SD": [ 981 ], + "Z": [ 966 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1018 ], + "C": [ 1019 ], + "D": [ 1020 ], + "Z": [ 1021 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1018 ], + "C": [ 1019 ], + "D": [ 1020 ], + "Z": [ 1022 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1018 ], + "C": [ 1019 ], + "D": [ 1020 ], + "Z": [ 1023 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1018 ], + "C": [ 1019 ], + "D": [ 1020 ], + "Z": [ 1024 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1022 ], + "BLUT": [ 1021 ], + "C0": [ 978 ], + "Z": [ 1025 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1024 ], + "BLUT": [ 1023 ], + "C0": [ 978 ], + "Z": [ 1026 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1025 ], + "D1": [ 1026 ], + "SD": [ 981 ], + "Z": [ 969 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39359.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1027 ], + "B": [ 1028 ], + "C": [ 1029 ], + "D": [ 1030 ], + "Z": [ 1031 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39359.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1027 ], + "B": [ 1028 ], + "C": [ 1029 ], + "D": [ 1030 ], + "Z": [ 1032 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39359.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1032 ], + "BLUT": [ 1031 ], + "C0": [ 1033 ], + "Z": [ 942 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1034 ], + "C": [ 1035 ], + "D": [ 1036 ], + "Z": [ 1037 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1034 ], + "C": [ 1035 ], + "D": [ 1036 ], + "Z": [ 1038 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1034 ], + "C": [ 1035 ], + "D": [ 1036 ], + "Z": [ 1039 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1034 ], + "C": [ 1035 ], + "D": [ 1036 ], + "Z": [ 1040 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1038 ], + "BLUT": [ 1037 ], + "C0": [ 978 ], + "Z": [ 1041 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1040 ], + "BLUT": [ 1039 ], + "C0": [ 978 ], + "Z": [ 1042 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1041 ], + "D1": [ 1042 ], + "SD": [ 981 ], + "Z": [ 1027 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1043 ], + "C": [ 1044 ], + "D": [ 1045 ], + "Z": [ 1046 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1043 ], + "C": [ 1044 ], + "D": [ 1045 ], + "Z": [ 1047 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1043 ], + "C": [ 1044 ], + "D": [ 1045 ], + "Z": [ 1048 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1043 ], + "C": [ 1044 ], + "D": [ 1045 ], + "Z": [ 1049 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1047 ], + "BLUT": [ 1046 ], + "C0": [ 978 ], + "Z": [ 1050 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1049 ], + "BLUT": [ 1048 ], + "C0": [ 978 ], + "Z": [ 1051 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1050 ], + "D1": [ 1051 ], + "SD": [ 981 ], + "Z": [ 1028 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1052 ], + "C": [ 1053 ], + "D": [ 1054 ], + "Z": [ 1055 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1052 ], + "C": [ 1053 ], + "D": [ 1054 ], + "Z": [ 1056 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1052 ], + "C": [ 1053 ], + "D": [ 1054 ], + "Z": [ 1057 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1052 ], + "C": [ 1053 ], + "D": [ 1054 ], + "Z": [ 1058 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1056 ], + "BLUT": [ 1055 ], + "C0": [ 978 ], + "Z": [ 1059 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1058 ], + "BLUT": [ 1057 ], + "C0": [ 978 ], + "Z": [ 1060 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1059 ], + "D1": [ 1060 ], + "SD": [ 981 ], + "Z": [ 1033 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1061 ], + "C": [ 1062 ], + "D": [ 1063 ], + "Z": [ 1064 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1061 ], + "C": [ 1062 ], + "D": [ 1063 ], + "Z": [ 1065 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1061 ], + "C": [ 1062 ], + "D": [ 1063 ], + "Z": [ 1066 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1061 ], + "C": [ 1062 ], + "D": [ 1063 ], + "Z": [ 1067 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1065 ], + "BLUT": [ 1064 ], + "C0": [ 978 ], + "Z": [ 1068 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1067 ], + "BLUT": [ 1066 ], + "C0": [ 978 ], + "Z": [ 1069 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1068 ], + "D1": [ 1069 ], + "SD": [ 981 ], + "Z": [ 1029 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1070 ], + "C": [ 1071 ], + "D": [ 1072 ], + "Z": [ 1073 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1070 ], + "C": [ 1071 ], + "D": [ 1072 ], + "Z": [ 1074 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1070 ], + "C": [ 1071 ], + "D": [ 1072 ], + "Z": [ 1075 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1070 ], + "C": [ 1071 ], + "D": [ 1072 ], + "Z": [ 1076 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1074 ], + "BLUT": [ 1073 ], + "C0": [ 978 ], + "Z": [ 1077 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1076 ], + "BLUT": [ 1075 ], + "C0": [ 978 ], + "Z": [ 1078 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1077 ], + "D1": [ 1078 ], + "SD": [ 981 ], + "Z": [ 1030 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1079 ], + "B": [ 1080 ], + "C": [ 1081 ], + "D": [ 1082 ], + "Z": [ 1083 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1079 ], + "B": [ 1080 ], + "C": [ 1081 ], + "D": [ 1082 ], + "Z": [ 1084 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1079 ], + "B": [ 1080 ], + "C": [ 1081 ], + "D": [ 1082 ], + "Z": [ 1085 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1079 ], + "B": [ 1080 ], + "C": [ 1081 ], + "D": [ 1082 ], + "Z": [ 1086 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1084 ], + "BLUT": [ 1083 ], + "C0": [ 1087 ], + "Z": [ 1088 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1086 ], + "BLUT": [ 1085 ], + "C0": [ 1087 ], + "Z": [ 1089 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1088 ], + "D1": [ 1089 ], + "SD": [ 1090 ], + "Z": [ 943 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1091 ], + "C": [ 1092 ], + "D": [ 1093 ], + "Z": [ 1094 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1091 ], + "C": [ 1092 ], + "D": [ 1093 ], + "Z": [ 1095 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1091 ], + "C": [ 1092 ], + "D": [ 1093 ], + "Z": [ 1096 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1091 ], + "C": [ 1092 ], + "D": [ 1093 ], + "Z": [ 1097 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1095 ], + "BLUT": [ 1094 ], + "C0": [ 978 ], + "Z": [ 1098 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1097 ], + "BLUT": [ 1096 ], + "C0": [ 978 ], + "Z": [ 1099 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1098 ], + "D1": [ 1099 ], + "SD": [ 981 ], + "Z": [ 1079 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1100 ], + "C": [ 1101 ], + "D": [ 1102 ], + "Z": [ 1103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1100 ], + "C": [ 1101 ], + "D": [ 1102 ], + "Z": [ 1104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1100 ], + "C": [ 1101 ], + "D": [ 1102 ], + "Z": [ 1105 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1100 ], + "C": [ 1101 ], + "D": [ 1102 ], + "Z": [ 1106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1104 ], + "BLUT": [ 1103 ], + "C0": [ 978 ], + "Z": [ 1107 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1106 ], + "BLUT": [ 1105 ], + "C0": [ 978 ], + "Z": [ 1108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1107 ], + "D1": [ 1108 ], + "SD": [ 981 ], + "Z": [ 1080 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1109 ], + "C": [ 1110 ], + "D": [ 1111 ], + "Z": [ 1112 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1109 ], + "C": [ 1110 ], + "D": [ 1111 ], + "Z": [ 1113 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1109 ], + "C": [ 1110 ], + "D": [ 1111 ], + "Z": [ 1114 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1109 ], + "C": [ 1110 ], + "D": [ 1111 ], + "Z": [ 1115 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1113 ], + "BLUT": [ 1112 ], + "C0": [ 978 ], + "Z": [ 1116 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1115 ], + "BLUT": [ 1114 ], + "C0": [ 978 ], + "Z": [ 1117 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1116 ], + "D1": [ 1117 ], + "SD": [ 981 ], + "Z": [ 1081 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1118 ], + "B": [ 1119 ], + "C": [ 1120 ], + "D": [ 1121 ], + "Z": [ 1122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52428 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1118 ], + "B": [ 1119 ], + "C": [ 1120 ], + "D": [ 1121 ], + "Z": [ 1123 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1118 ], + "B": [ 1119 ], + "C": [ 1120 ], + "D": [ 1121 ], + "Z": [ 1124 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1118 ], + "B": [ 1119 ], + "C": [ 1120 ], + "D": [ 1121 ], + "Z": [ 1125 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1118 ], + "B": [ 1119 ], + "C": [ 1120 ], + "D": [ 1121 ], + "Z": [ 1126 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1118 ], + "B": [ 1119 ], + "C": [ 1120 ], + "D": [ 1121 ], + "Z": [ 1127 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1118 ], + "B": [ 1119 ], + "C": [ 1120 ], + "D": [ 1121 ], + "Z": [ 1128 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1118 ], + "B": [ 1119 ], + "C": [ 1120 ], + "D": [ 1121 ], + "Z": [ 1129 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1123 ], + "BLUT": [ 1122 ], + "C0": [ 970 ], + "Z": [ 1130 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1125 ], + "BLUT": [ 1124 ], + "C0": [ 970 ], + "Z": [ 1131 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1127 ], + "BLUT": [ 1126 ], + "C0": [ 970 ], + "Z": [ 1132 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1129 ], + "BLUT": [ 1128 ], + "C0": [ 970 ], + "Z": [ 1133 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1130 ], + "D1": [ 1131 ], + "SD": [ 978 ], + "Z": [ 1134 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1132 ], + "D1": [ 1133 ], + "SD": [ 978 ], + "Z": [ 1135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1134 ], + "D1": [ 1135 ], + "SD": [ 981 ], + "Z": [ 1082 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1136 ], + "C": [ 1137 ], + "D": [ 1138 ], + "Z": [ 1139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1136 ], + "C": [ 1137 ], + "D": [ 1138 ], + "Z": [ 1140 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1136 ], + "C": [ 1137 ], + "D": [ 1138 ], + "Z": [ 1141 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1136 ], + "C": [ 1137 ], + "D": [ 1138 ], + "Z": [ 1142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1140 ], + "BLUT": [ 1139 ], + "C0": [ 978 ], + "Z": [ 1143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1142 ], + "BLUT": [ 1141 ], + "C0": [ 978 ], + "Z": [ 1144 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1143 ], + "D1": [ 1144 ], + "SD": [ 981 ], + "Z": [ 1087 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1145 ], + "C": [ 1146 ], + "D": [ 1147 ], + "Z": [ 1148 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1145 ], + "C": [ 1146 ], + "D": [ 1147 ], + "Z": [ 1149 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1145 ], + "C": [ 1146 ], + "D": [ 1147 ], + "Z": [ 1150 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1145 ], + "C": [ 1146 ], + "D": [ 1147 ], + "Z": [ 1151 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1149 ], + "BLUT": [ 1148 ], + "C0": [ 978 ], + "Z": [ 1152 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1151 ], + "BLUT": [ 1150 ], + "C0": [ 978 ], + "Z": [ 1153 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1152 ], + "D1": [ 1153 ], + "SD": [ 981 ], + "Z": [ 1090 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1154 ], + "B": [ 1155 ], + "C": [ 940 ], + "D": [ 1156 ], + "Z": [ 1157 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1154 ], + "B": [ 1155 ], + "C": [ 940 ], + "D": [ 1156 ], + "Z": [ 1158 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1154 ], + "B": [ 1155 ], + "C": [ 940 ], + "D": [ 1156 ], + "Z": [ 1159 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1154 ], + "B": [ 1155 ], + "C": [ 940 ], + "D": [ 1156 ], + "Z": [ 1160 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1154 ], + "B": [ 1155 ], + "C": [ 940 ], + "D": [ 1156 ], + "Z": [ 1161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1154 ], + "B": [ 1155 ], + "C": [ 940 ], + "D": [ 1156 ], + "Z": [ 1162 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1154 ], + "B": [ 1155 ], + "C": [ 940 ], + "D": [ 1156 ], + "Z": [ 1163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1154 ], + "B": [ 1155 ], + "C": [ 940 ], + "D": [ 1156 ], + "Z": [ 1164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1158 ], + "BLUT": [ 1157 ], + "C0": [ 1165 ], + "Z": [ 1166 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1160 ], + "BLUT": [ 1159 ], + "C0": [ 1165 ], + "Z": [ 1167 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1162 ], + "BLUT": [ 1161 ], + "C0": [ 1165 ], + "Z": [ 1168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1164 ], + "BLUT": [ 1163 ], + "C0": [ 1165 ], + "Z": [ 1169 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1166 ], + "D1": [ 1167 ], + "SD": [ 1170 ], + "Z": [ 1171 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1168 ], + "D1": [ 1169 ], + "SD": [ 1170 ], + "Z": [ 1172 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1171 ], + "D1": [ 1172 ], + "SD": [ 1173 ], + "Z": [ 944 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1174 ], + "C": [ 1175 ], + "D": [ 1176 ], + "Z": [ 1177 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1174 ], + "C": [ 1175 ], + "D": [ 1176 ], + "Z": [ 1178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1174 ], + "C": [ 1175 ], + "D": [ 1176 ], + "Z": [ 1179 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1174 ], + "C": [ 1175 ], + "D": [ 1176 ], + "Z": [ 1180 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1178 ], + "BLUT": [ 1177 ], + "C0": [ 978 ], + "Z": [ 1181 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1180 ], + "BLUT": [ 1179 ], + "C0": [ 978 ], + "Z": [ 1182 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1181 ], + "D1": [ 1182 ], + "SD": [ 981 ], + "Z": [ 1154 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1183 ], + "C": [ 1184 ], + "D": [ 1185 ], + "Z": [ 1186 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1183 ], + "C": [ 1184 ], + "D": [ 1185 ], + "Z": [ 1187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1183 ], + "C": [ 1184 ], + "D": [ 1185 ], + "Z": [ 1188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1183 ], + "C": [ 1184 ], + "D": [ 1185 ], + "Z": [ 1189 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1187 ], + "BLUT": [ 1186 ], + "C0": [ 978 ], + "Z": [ 1190 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1189 ], + "BLUT": [ 1188 ], + "C0": [ 978 ], + "Z": [ 1191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1190 ], + "D1": [ 1191 ], + "SD": [ 981 ], + "Z": [ 1155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1192 ], + "C": [ 1193 ], + "D": [ 1194 ], + "Z": [ 1195 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1192 ], + "C": [ 1193 ], + "D": [ 1194 ], + "Z": [ 1196 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1192 ], + "C": [ 1193 ], + "D": [ 1194 ], + "Z": [ 1197 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1192 ], + "C": [ 1193 ], + "D": [ 1194 ], + "Z": [ 1198 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1196 ], + "BLUT": [ 1195 ], + "C0": [ 978 ], + "Z": [ 1199 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1198 ], + "BLUT": [ 1197 ], + "C0": [ 978 ], + "Z": [ 1200 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1199 ], + "D1": [ 1200 ], + "SD": [ 981 ], + "Z": [ 940 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1201 ], + "B": [ 1202 ], + "C": [ 1203 ], + "D": [ 1204 ], + "Z": [ 1205 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52428 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1201 ], + "B": [ 1202 ], + "C": [ 1203 ], + "D": [ 1204 ], + "Z": [ 1206 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1201 ], + "B": [ 1202 ], + "C": [ 1203 ], + "D": [ 1204 ], + "Z": [ 1207 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1201 ], + "B": [ 1202 ], + "C": [ 1203 ], + "D": [ 1204 ], + "Z": [ 1208 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1201 ], + "B": [ 1202 ], + "C": [ 1203 ], + "D": [ 1204 ], + "Z": [ 1209 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1201 ], + "B": [ 1202 ], + "C": [ 1203 ], + "D": [ 1204 ], + "Z": [ 1210 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1201 ], + "B": [ 1202 ], + "C": [ 1203 ], + "D": [ 1204 ], + "Z": [ 1211 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1201 ], + "B": [ 1202 ], + "C": [ 1203 ], + "D": [ 1204 ], + "Z": [ 1212 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1206 ], + "BLUT": [ 1205 ], + "C0": [ 970 ], + "Z": [ 1213 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1208 ], + "BLUT": [ 1207 ], + "C0": [ 970 ], + "Z": [ 1214 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1210 ], + "BLUT": [ 1209 ], + "C0": [ 970 ], + "Z": [ 1215 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1212 ], + "BLUT": [ 1211 ], + "C0": [ 970 ], + "Z": [ 1216 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1213 ], + "D1": [ 1214 ], + "SD": [ 978 ], + "Z": [ 1217 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1215 ], + "D1": [ 1216 ], + "SD": [ 978 ], + "Z": [ 1218 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1217 ], + "D1": [ 1218 ], + "SD": [ 981 ], + "Z": [ 1156 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1219 ], + "B": [ 1220 ], + "C": [ 1221 ], + "D": [ 1222 ], + "Z": [ 1223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52428 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1219 ], + "B": [ 1220 ], + "C": [ 1221 ], + "D": [ 1222 ], + "Z": [ 1224 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1219 ], + "B": [ 1220 ], + "C": [ 1221 ], + "D": [ 1222 ], + "Z": [ 1225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1219 ], + "B": [ 1220 ], + "C": [ 1221 ], + "D": [ 1222 ], + "Z": [ 1226 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1219 ], + "B": [ 1220 ], + "C": [ 1221 ], + "D": [ 1222 ], + "Z": [ 1227 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1219 ], + "B": [ 1220 ], + "C": [ 1221 ], + "D": [ 1222 ], + "Z": [ 1228 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1219 ], + "B": [ 1220 ], + "C": [ 1221 ], + "D": [ 1222 ], + "Z": [ 1229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1219 ], + "B": [ 1220 ], + "C": [ 1221 ], + "D": [ 1222 ], + "Z": [ 1230 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1224 ], + "BLUT": [ 1223 ], + "C0": [ 970 ], + "Z": [ 1231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1226 ], + "BLUT": [ 1225 ], + "C0": [ 970 ], + "Z": [ 1232 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1228 ], + "BLUT": [ 1227 ], + "C0": [ 970 ], + "Z": [ 1233 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1230 ], + "BLUT": [ 1229 ], + "C0": [ 970 ], + "Z": [ 1234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1231 ], + "D1": [ 1232 ], + "SD": [ 978 ], + "Z": [ 1235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1233 ], + "D1": [ 1234 ], + "SD": [ 978 ], + "Z": [ 1236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1235 ], + "D1": [ 1236 ], + "SD": [ 981 ], + "Z": [ 1165 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1237 ], + "B": [ 1238 ], + "C": [ 1239 ], + "D": [ 1240 ], + "Z": [ 1241 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52428 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1237 ], + "B": [ 1238 ], + "C": [ 1239 ], + "D": [ 1240 ], + "Z": [ 1242 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1237 ], + "B": [ 1238 ], + "C": [ 1239 ], + "D": [ 1240 ], + "Z": [ 1243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1237 ], + "B": [ 1238 ], + "C": [ 1239 ], + "D": [ 1240 ], + "Z": [ 1244 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1237 ], + "B": [ 1238 ], + "C": [ 1239 ], + "D": [ 1240 ], + "Z": [ 1245 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1237 ], + "B": [ 1238 ], + "C": [ 1239 ], + "D": [ 1240 ], + "Z": [ 1246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1237 ], + "B": [ 1238 ], + "C": [ 1239 ], + "D": [ 1240 ], + "Z": [ 1247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1237 ], + "B": [ 1238 ], + "C": [ 1239 ], + "D": [ 1240 ], + "Z": [ 1248 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1242 ], + "BLUT": [ 1241 ], + "C0": [ 970 ], + "Z": [ 1249 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1244 ], + "BLUT": [ 1243 ], + "C0": [ 970 ], + "Z": [ 1250 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1246 ], + "BLUT": [ 1245 ], + "C0": [ 970 ], + "Z": [ 1251 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1248 ], + "BLUT": [ 1247 ], + "C0": [ 970 ], + "Z": [ 1252 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1249 ], + "D1": [ 1250 ], + "SD": [ 978 ], + "Z": [ 1253 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1251 ], + "D1": [ 1252 ], + "SD": [ 978 ], + "Z": [ 1254 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1253 ], + "D1": [ 1254 ], + "SD": [ 981 ], + "Z": [ 1170 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1255 ], + "C": [ 1256 ], + "D": [ 1257 ], + "Z": [ 1258 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1255 ], + "C": [ 1256 ], + "D": [ 1257 ], + "Z": [ 1259 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1255 ], + "C": [ 1256 ], + "D": [ 1257 ], + "Z": [ 1260 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1255 ], + "C": [ 1256 ], + "D": [ 1257 ], + "Z": [ 1261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1259 ], + "BLUT": [ 1258 ], + "C0": [ 978 ], + "Z": [ 1262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1261 ], + "BLUT": [ 1260 ], + "C0": [ 978 ], + "Z": [ 1263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1262 ], + "D1": [ 1263 ], + "SD": [ 981 ], + "Z": [ 1173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39380": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1264 ], + "B": [ 1265 ], + "C": [ 1266 ], + "D": [ 1267 ], + "Z": [ 945 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1268 ], + "C": [ 1269 ], + "D": [ 1270 ], + "Z": [ 1271 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1268 ], + "C": [ 1269 ], + "D": [ 1270 ], + "Z": [ 1272 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1268 ], + "C": [ 1269 ], + "D": [ 1270 ], + "Z": [ 1273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1268 ], + "C": [ 1269 ], + "D": [ 1270 ], + "Z": [ 1274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1272 ], + "BLUT": [ 1271 ], + "C0": [ 978 ], + "Z": [ 1275 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1274 ], + "BLUT": [ 1273 ], + "C0": [ 978 ], + "Z": [ 1276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1275 ], + "D1": [ 1276 ], + "SD": [ 981 ], + "Z": [ 1264 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1277 ], + "C": [ 1278 ], + "D": [ 1279 ], + "Z": [ 1280 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1277 ], + "C": [ 1278 ], + "D": [ 1279 ], + "Z": [ 1281 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1277 ], + "C": [ 1278 ], + "D": [ 1279 ], + "Z": [ 1282 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1277 ], + "C": [ 1278 ], + "D": [ 1279 ], + "Z": [ 1283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1281 ], + "BLUT": [ 1280 ], + "C0": [ 978 ], + "Z": [ 1284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1283 ], + "BLUT": [ 1282 ], + "C0": [ 978 ], + "Z": [ 1285 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1284 ], + "D1": [ 1285 ], + "SD": [ 981 ], + "Z": [ 1265 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1286 ], + "C": [ 1287 ], + "D": [ 1288 ], + "Z": [ 1289 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1286 ], + "C": [ 1287 ], + "D": [ 1288 ], + "Z": [ 1290 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1286 ], + "C": [ 1287 ], + "D": [ 1288 ], + "Z": [ 1291 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1286 ], + "C": [ 1287 ], + "D": [ 1288 ], + "Z": [ 1292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1290 ], + "BLUT": [ 1289 ], + "C0": [ 978 ], + "Z": [ 1293 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1292 ], + "BLUT": [ 1291 ], + "C0": [ 978 ], + "Z": [ 1294 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1293 ], + "D1": [ 1294 ], + "SD": [ 981 ], + "Z": [ 1266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1295 ], + "C": [ 1296 ], + "D": [ 1297 ], + "Z": [ 1298 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1295 ], + "C": [ 1296 ], + "D": [ 1297 ], + "Z": [ 1299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1295 ], + "C": [ 1296 ], + "D": [ 1297 ], + "Z": [ 1300 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1295 ], + "C": [ 1296 ], + "D": [ 1297 ], + "Z": [ 1301 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1299 ], + "BLUT": [ 1298 ], + "C0": [ 978 ], + "Z": [ 1302 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1301 ], + "BLUT": [ 1300 ], + "C0": [ 978 ], + "Z": [ 1303 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1302 ], + "D1": [ 1303 ], + "SD": [ 981 ], + "Z": [ 1267 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39385.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1304 ], + "B": [ 1305 ], + "C": [ 1306 ], + "D": [ 1307 ], + "Z": [ 1308 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39385.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1304 ], + "B": [ 1305 ], + "C": [ 1306 ], + "D": [ 1307 ], + "Z": [ 1309 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39385.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1309 ], + "BLUT": [ 1308 ], + "C0": [ 1310 ], + "Z": [ 954 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1311 ], + "C": [ 1312 ], + "D": [ 1313 ], + "Z": [ 1314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1311 ], + "C": [ 1312 ], + "D": [ 1313 ], + "Z": [ 1315 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1311 ], + "C": [ 1312 ], + "D": [ 1313 ], + "Z": [ 1316 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1311 ], + "C": [ 1312 ], + "D": [ 1313 ], + "Z": [ 1317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1315 ], + "BLUT": [ 1314 ], + "C0": [ 978 ], + "Z": [ 1318 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1317 ], + "BLUT": [ 1316 ], + "C0": [ 978 ], + "Z": [ 1319 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1318 ], + "D1": [ 1319 ], + "SD": [ 981 ], + "Z": [ 1306 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1320 ], + "C": [ 1321 ], + "D": [ 1322 ], + "Z": [ 1323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1320 ], + "C": [ 1321 ], + "D": [ 1322 ], + "Z": [ 1324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1320 ], + "C": [ 1321 ], + "D": [ 1322 ], + "Z": [ 1325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1320 ], + "C": [ 1321 ], + "D": [ 1322 ], + "Z": [ 1326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1324 ], + "BLUT": [ 1323 ], + "C0": [ 978 ], + "Z": [ 1327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1326 ], + "BLUT": [ 1325 ], + "C0": [ 978 ], + "Z": [ 1328 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1327 ], + "D1": [ 1328 ], + "SD": [ 981 ], + "Z": [ 1304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1329 ], + "C": [ 1330 ], + "D": [ 1331 ], + "Z": [ 1332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1329 ], + "C": [ 1330 ], + "D": [ 1331 ], + "Z": [ 1333 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1329 ], + "C": [ 1330 ], + "D": [ 1331 ], + "Z": [ 1334 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1329 ], + "C": [ 1330 ], + "D": [ 1331 ], + "Z": [ 1335 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1333 ], + "BLUT": [ 1332 ], + "C0": [ 978 ], + "Z": [ 1336 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1335 ], + "BLUT": [ 1334 ], + "C0": [ 978 ], + "Z": [ 1337 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1336 ], + "D1": [ 1337 ], + "SD": [ 981 ], + "Z": [ 1305 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1338 ], + "C": [ 1339 ], + "D": [ 1340 ], + "Z": [ 1341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1338 ], + "C": [ 1339 ], + "D": [ 1340 ], + "Z": [ 1342 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1338 ], + "C": [ 1339 ], + "D": [ 1340 ], + "Z": [ 1343 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1338 ], + "C": [ 1339 ], + "D": [ 1340 ], + "Z": [ 1344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1342 ], + "BLUT": [ 1341 ], + "C0": [ 978 ], + "Z": [ 1345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1344 ], + "BLUT": [ 1343 ], + "C0": [ 978 ], + "Z": [ 1346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1345 ], + "D1": [ 1346 ], + "SD": [ 981 ], + "Z": [ 1307 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1347 ], + "C": [ 1348 ], + "D": [ 1349 ], + "Z": [ 1350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61680 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1347 ], + "C": [ 1348 ], + "D": [ 1349 ], + "Z": [ 1351 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1347 ], + "C": [ 1348 ], + "D": [ 1349 ], + "Z": [ 1352 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1347 ], + "C": [ 1348 ], + "D": [ 1349 ], + "Z": [ 1353 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1351 ], + "BLUT": [ 1350 ], + "C0": [ 978 ], + "Z": [ 1354 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1353 ], + "BLUT": [ 1352 ], + "C0": [ 978 ], + "Z": [ 1355 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1354 ], + "D1": [ 1355 ], + "SD": [ 981 ], + "Z": [ 1310 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39391": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1356 ], + "B": [ 1170 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 938 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1357 ], + "B": [ 1358 ], + "C": [ 1359 ], + "D": [ 1360 ], + "Z": [ 1361 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1357 ], + "B": [ 1358 ], + "C": [ 1359 ], + "D": [ 1360 ], + "Z": [ 1362 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1357 ], + "B": [ 1358 ], + "C": [ 1359 ], + "D": [ 1360 ], + "Z": [ 1363 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1357 ], + "B": [ 1358 ], + "C": [ 1359 ], + "D": [ 1360 ], + "Z": [ 1364 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1362 ], + "BLUT": [ 1361 ], + "C0": [ 1365 ], + "Z": [ 1366 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1364 ], + "BLUT": [ 1363 ], + "C0": [ 1365 ], + "Z": [ 1367 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1366 ], + "D1": [ 1367 ], + "SD": [ 1368 ], + "Z": [ 927 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39393.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1369 ], + "B": [ 1370 ], + "C": [ 1027 ], + "D": [ 1030 ], + "Z": [ 1371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39393.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1369 ], + "B": [ 1370 ], + "C": [ 1027 ], + "D": [ 1030 ], + "Z": [ 1372 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39393.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1372 ], + "BLUT": [ 1371 ], + "C0": [ 941 ], + "Z": [ 1357 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39394.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1373 ], + "B": [ 1374 ], + "C": [ 1154 ], + "D": [ 1087 ], + "Z": [ 1375 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39394.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1373 ], + "B": [ 1374 ], + "C": [ 1154 ], + "D": [ 1087 ], + "Z": [ 1376 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39394.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1376 ], + "BLUT": [ 1375 ], + "C0": [ 941 ], + "Z": [ 1358 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39395.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1377 ], + "B": [ 1378 ], + "C": [ 1264 ], + "D": [ 1156 ], + "Z": [ 1379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39395.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1377 ], + "B": [ 1378 ], + "C": [ 1264 ], + "D": [ 1156 ], + "Z": [ 1380 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39395.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1380 ], + "BLUT": [ 1379 ], + "C0": [ 941 ], + "Z": [ 1359 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39396.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1381 ], + "B": [ 1382 ], + "C": [ 1079 ], + "D": [ 969 ], + "Z": [ 1383 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39396.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1381 ], + "B": [ 1382 ], + "C": [ 1079 ], + "D": [ 969 ], + "Z": [ 1384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39396.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1384 ], + "BLUT": [ 1383 ], + "C0": [ 941 ], + "Z": [ 1360 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39397.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1385 ], + "B": [ 1386 ], + "C": [ 1033 ], + "D": [ 1305 ], + "Z": [ 1387 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39397.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1385 ], + "B": [ 1386 ], + "C": [ 1033 ], + "D": [ 1305 ], + "Z": [ 1388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39397.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1388 ], + "BLUT": [ 1387 ], + "C0": [ 941 ], + "Z": [ 1365 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39398.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1389 ], + "B": [ 1390 ], + "C": [ 965 ], + "D": [ 1265 ], + "Z": [ 1391 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39398.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1389 ], + "B": [ 1390 ], + "C": [ 965 ], + "D": [ 1265 ], + "Z": [ 1392 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39398.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1392 ], + "BLUT": [ 1391 ], + "C0": [ 941 ], + "Z": [ 1368 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1393 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1397 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1393 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1398 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1393 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1399 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1393 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1400 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1393 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1401 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1393 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1402 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1393 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1403 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1393 ], + "B": [ 1394 ], + "C": [ 1395 ], + "D": [ 1396 ], + "Z": [ 1404 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1398 ], + "BLUT": [ 1397 ], + "C0": [ 1405 ], + "Z": [ 1406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1400 ], + "BLUT": [ 1399 ], + "C0": [ 1405 ], + "Z": [ 1407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1402 ], + "BLUT": [ 1401 ], + "C0": [ 1405 ], + "Z": [ 1408 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1404 ], + "BLUT": [ 1403 ], + "C0": [ 1405 ], + "Z": [ 1409 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1406 ], + "D1": [ 1407 ], + "SD": [ 1410 ], + "Z": [ 1411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1408 ], + "D1": [ 1409 ], + "SD": [ 1410 ], + "Z": [ 1412 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1411 ], + "D1": [ 1412 ], + "SD": [ 1413 ], + "Z": [ 928 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39400.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1414 ], + "B": [ 1415 ], + "C": [ 1081 ], + "D": [ 1165 ], + "Z": [ 1416 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39400.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1414 ], + "B": [ 1415 ], + "C": [ 1081 ], + "D": [ 1165 ], + "Z": [ 1417 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39400.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1417 ], + "BLUT": [ 1416 ], + "C0": [ 941 ], + "Z": [ 1393 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1418 ], + "B": [ 1419 ], + "C": [ 1420 ], + "D": [ 1155 ], + "Z": [ 1421 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1418 ], + "B": [ 1419 ], + "C": [ 1420 ], + "D": [ 1155 ], + "Z": [ 1422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1418 ], + "B": [ 1419 ], + "C": [ 1420 ], + "D": [ 1155 ], + "Z": [ 1423 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1418 ], + "B": [ 1419 ], + "C": [ 1420 ], + "D": [ 1155 ], + "Z": [ 1424 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1418 ], + "B": [ 1419 ], + "C": [ 1420 ], + "D": [ 1155 ], + "Z": [ 1425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1418 ], + "B": [ 1419 ], + "C": [ 1420 ], + "D": [ 1155 ], + "Z": [ 1426 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1418 ], + "B": [ 1419 ], + "C": [ 1420 ], + "D": [ 1155 ], + "Z": [ 1427 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1418 ], + "B": [ 1419 ], + "C": [ 1420 ], + "D": [ 1155 ], + "Z": [ 1428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1422 ], + "BLUT": [ 1421 ], + "C0": [ 1082 ], + "Z": [ 1429 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1424 ], + "BLUT": [ 1423 ], + "C0": [ 1082 ], + "Z": [ 1430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1426 ], + "BLUT": [ 1425 ], + "C0": [ 1082 ], + "Z": [ 1431 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1428 ], + "BLUT": [ 1427 ], + "C0": [ 1082 ], + "Z": [ 1432 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1429 ], + "D1": [ 1430 ], + "SD": [ 966 ], + "Z": [ 1433 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1431 ], + "D1": [ 1432 ], + "SD": [ 966 ], + "Z": [ 1434 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1433 ], + "D1": [ 1434 ], + "SD": [ 941 ], + "Z": [ 1394 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1435 ], + "B": [ 1436 ], + "C": [ 1437 ], + "D": [ 1080 ], + "Z": [ 1438 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1435 ], + "B": [ 1436 ], + "C": [ 1437 ], + "D": [ 1080 ], + "Z": [ 1439 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1435 ], + "B": [ 1436 ], + "C": [ 1437 ], + "D": [ 1080 ], + "Z": [ 1440 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1435 ], + "B": [ 1436 ], + "C": [ 1437 ], + "D": [ 1080 ], + "Z": [ 1441 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1435 ], + "B": [ 1436 ], + "C": [ 1437 ], + "D": [ 1080 ], + "Z": [ 1442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1435 ], + "B": [ 1436 ], + "C": [ 1437 ], + "D": [ 1080 ], + "Z": [ 1443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1435 ], + "B": [ 1436 ], + "C": [ 1437 ], + "D": [ 1080 ], + "Z": [ 1444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1435 ], + "B": [ 1436 ], + "C": [ 1437 ], + "D": [ 1080 ], + "Z": [ 1445 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1439 ], + "BLUT": [ 1438 ], + "C0": [ 1304 ], + "Z": [ 1446 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1441 ], + "BLUT": [ 1440 ], + "C0": [ 1304 ], + "Z": [ 1447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1443 ], + "BLUT": [ 1442 ], + "C0": [ 1304 ], + "Z": [ 1448 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1445 ], + "BLUT": [ 1444 ], + "C0": [ 1304 ], + "Z": [ 1449 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1446 ], + "D1": [ 1447 ], + "SD": [ 1029 ], + "Z": [ 1450 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1448 ], + "D1": [ 1449 ], + "SD": [ 1029 ], + "Z": [ 1451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1450 ], + "D1": [ 1451 ], + "SD": [ 941 ], + "Z": [ 1395 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4112 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1452 ], + "B": [ 1453 ], + "C": [ 1454 ], + "D": [ 963 ], + "Z": [ 1455 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4112 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1452 ], + "B": [ 1453 ], + "C": [ 1454 ], + "D": [ 963 ], + "Z": [ 1456 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4112 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1452 ], + "B": [ 1453 ], + "C": [ 1454 ], + "D": [ 963 ], + "Z": [ 1457 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4112 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1452 ], + "B": [ 1453 ], + "C": [ 1454 ], + "D": [ 963 ], + "Z": [ 1458 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1452 ], + "B": [ 1453 ], + "C": [ 1454 ], + "D": [ 963 ], + "Z": [ 1459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1452 ], + "B": [ 1453 ], + "C": [ 1454 ], + "D": [ 963 ], + "Z": [ 1460 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1452 ], + "B": [ 1453 ], + "C": [ 1454 ], + "D": [ 963 ], + "Z": [ 1461 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1452 ], + "B": [ 1453 ], + "C": [ 1454 ], + "D": [ 963 ], + "Z": [ 1462 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1456 ], + "BLUT": [ 1455 ], + "C0": [ 1266 ], + "Z": [ 1463 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1458 ], + "BLUT": [ 1457 ], + "C0": [ 1266 ], + "Z": [ 1464 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1460 ], + "BLUT": [ 1459 ], + "C0": [ 1266 ], + "Z": [ 1465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1462 ], + "BLUT": [ 1461 ], + "C0": [ 1266 ], + "Z": [ 1466 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1463 ], + "D1": [ 1464 ], + "SD": [ 1310 ], + "Z": [ 1467 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1465 ], + "D1": [ 1466 ], + "SD": [ 1310 ], + "Z": [ 1468 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1467 ], + "D1": [ 1468 ], + "SD": [ 941 ], + "Z": [ 1396 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39404.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1469 ], + "B": [ 1470 ], + "C": [ 1306 ], + "D": [ 1307 ], + "Z": [ 1471 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39404.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61440 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1469 ], + "B": [ 1470 ], + "C": [ 1306 ], + "D": [ 1307 ], + "Z": [ 1472 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39404.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1472 ], + "BLUT": [ 1471 ], + "C0": [ 941 ], + "Z": [ 1405 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39405.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1473 ], + "B": [ 1474 ], + "C": [ 1173 ], + "D": [ 1267 ], + "Z": [ 1475 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39405.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1473 ], + "B": [ 1474 ], + "C": [ 1173 ], + "D": [ 1267 ], + "Z": [ 1476 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39405.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1476 ], + "BLUT": [ 1475 ], + "C0": [ 941 ], + "Z": [ 1410 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1478 ], + "C": [ 1479 ], + "D": [ 964 ], + "Z": [ 1480 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1478 ], + "C": [ 1479 ], + "D": [ 964 ], + "Z": [ 1481 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1478 ], + "C": [ 1479 ], + "D": [ 964 ], + "Z": [ 1482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1478 ], + "C": [ 1479 ], + "D": [ 964 ], + "Z": [ 1483 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1478 ], + "C": [ 1479 ], + "D": [ 964 ], + "Z": [ 1484 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1478 ], + "C": [ 1479 ], + "D": [ 964 ], + "Z": [ 1485 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1478 ], + "C": [ 1479 ], + "D": [ 964 ], + "Z": [ 1486 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 1478 ], + "C": [ 1479 ], + "D": [ 964 ], + "Z": [ 1487 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1481 ], + "BLUT": [ 1480 ], + "C0": [ 1028 ], + "Z": [ 1488 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1483 ], + "BLUT": [ 1482 ], + "C0": [ 1028 ], + "Z": [ 1489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1485 ], + "BLUT": [ 1484 ], + "C0": [ 1028 ], + "Z": [ 1490 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1487 ], + "BLUT": [ 1486 ], + "C0": [ 1028 ], + "Z": [ 1491 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1488 ], + "D1": [ 1489 ], + "SD": [ 1090 ], + "Z": [ 1492 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1490 ], + "D1": [ 1491 ], + "SD": [ 1090 ], + "Z": [ 1493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1492 ], + "D1": [ 1493 ], + "SD": [ 941 ], + "Z": [ 1413 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39407": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1389 ], + "B": [ 965 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 933 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1494 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1495 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1494 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1496 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1494 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1497 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1494 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1498 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1496 ], + "BLUT": [ 1495 ], + "C0": [ 1499 ], + "Z": [ 1500 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1498 ], + "BLUT": [ 1497 ], + "C0": [ 1499 ], + "Z": [ 1501 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1500 ], + "D1": [ 1501 ], + "SD": [ 936 ], + "Z": [ 914 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39409": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1370 ], + "B": [ 1027 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1499 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1502 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1503 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1502 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1504 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1502 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1505 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1502 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1504 ], + "BLUT": [ 1503 ], + "C0": [ 1507 ], + "Z": [ 1508 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1506 ], + "BLUT": [ 1505 ], + "C0": [ 1507 ], + "Z": [ 1509 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1508 ], + "D1": [ 1509 ], + "SD": [ 936 ], + "Z": [ 915 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39411": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1473 ], + "B": [ 1267 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1507 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1510 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1511 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1510 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1512 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1510 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1513 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1510 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1514 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1512 ], + "BLUT": [ 1511 ], + "C0": [ 1515 ], + "Z": [ 1516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1514 ], + "BLUT": [ 1513 ], + "C0": [ 1515 ], + "Z": [ 1517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1516 ], + "D1": [ 1517 ], + "SD": [ 936 ], + "Z": [ 916 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39413": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1390 ], + "B": [ 1265 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1515 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1518 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1519 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1518 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1520 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1518 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1521 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1518 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1520 ], + "BLUT": [ 1519 ], + "C0": [ 1523 ], + "Z": [ 1524 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1522 ], + "BLUT": [ 1521 ], + "C0": [ 1523 ], + "Z": [ 1525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1524 ], + "D1": [ 1525 ], + "SD": [ 936 ], + "Z": [ 921 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39415": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1479 ], + "B": [ 1028 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1523 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1526 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1527 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1526 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1528 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1526 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1529 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1526 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1530 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1528 ], + "BLUT": [ 1527 ], + "C0": [ 1531 ], + "Z": [ 1532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1530 ], + "BLUT": [ 1529 ], + "C0": [ 1531 ], + "Z": [ 1533 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1532 ], + "D1": [ 1533 ], + "SD": [ 936 ], + "Z": [ 924 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39417": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1418 ], + "B": [ 1155 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1534 ], + "B": [ 1535 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1538 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1534 ], + "B": [ 1535 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1539 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1534 ], + "B": [ 1535 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1534 ], + "B": [ 1535 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1541 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1534 ], + "B": [ 1535 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1542 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1534 ], + "B": [ 1535 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1543 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1534 ], + "B": [ 1535 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1544 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1534 ], + "B": [ 1535 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1539 ], + "BLUT": [ 1538 ], + "C0": [ 1546 ], + "Z": [ 1547 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1541 ], + "BLUT": [ 1540 ], + "C0": [ 1546 ], + "Z": [ 1548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1543 ], + "BLUT": [ 1542 ], + "C0": [ 1546 ], + "Z": [ 1549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1545 ], + "BLUT": [ 1544 ], + "C0": [ 1546 ], + "Z": [ 1550 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1547 ], + "D1": [ 1548 ], + "SD": [ 1551 ], + "Z": [ 1552 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1549 ], + "D1": [ 1550 ], + "SD": [ 1551 ], + "Z": [ 1553 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1552 ], + "D1": [ 1553 ], + "SD": [ 1554 ], + "Z": [ 892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1555 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1556 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1555 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1557 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1555 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1558 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1555 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1559 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1557 ], + "BLUT": [ 1556 ], + "C0": [ 1560 ], + "Z": [ 1561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1559 ], + "BLUT": [ 1558 ], + "C0": [ 1560 ], + "Z": [ 1562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1561 ], + "D1": [ 1562 ], + "SD": [ 936 ], + "Z": [ 1534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39420": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1469 ], + "B": [ 1306 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1560 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1563 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1563 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1563 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1566 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1563 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1567 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1565 ], + "BLUT": [ 1564 ], + "C0": [ 1568 ], + "Z": [ 1569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1567 ], + "BLUT": [ 1566 ], + "C0": [ 1568 ], + "Z": [ 1570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1569 ], + "D1": [ 1570 ], + "SD": [ 936 ], + "Z": [ 1546 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39422": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1470 ], + "B": [ 1307 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1571 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1572 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1571 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1573 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1571 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1574 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1571 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1575 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1573 ], + "BLUT": [ 1572 ], + "C0": [ 1576 ], + "Z": [ 1577 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1575 ], + "BLUT": [ 1574 ], + "C0": [ 1576 ], + "Z": [ 1578 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1577 ], + "D1": [ 1578 ], + "SD": [ 936 ], + "Z": [ 1535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39424": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1478 ], + "B": [ 1090 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1579 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1579 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1581 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1579 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1582 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1579 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1583 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1581 ], + "BLUT": [ 1580 ], + "C0": [ 1584 ], + "Z": [ 1585 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1583 ], + "BLUT": [ 1582 ], + "C0": [ 1584 ], + "Z": [ 1586 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1585 ], + "D1": [ 1586 ], + "SD": [ 936 ], + "Z": [ 1536 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39426": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1477 ], + "B": [ 964 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1584 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1587 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1587 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1589 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1587 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1590 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1587 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1591 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1589 ], + "BLUT": [ 1588 ], + "C0": [ 1592 ], + "Z": [ 1593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1591 ], + "BLUT": [ 1590 ], + "C0": [ 1592 ], + "Z": [ 1594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1593 ], + "D1": [ 1594 ], + "SD": [ 936 ], + "Z": [ 1537 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39428": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1474 ], + "B": [ 1173 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1595 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1595 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1597 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1595 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1598 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1595 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1599 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1597 ], + "BLUT": [ 1596 ], + "C0": [ 1600 ], + "Z": [ 1601 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1599 ], + "BLUT": [ 1598 ], + "C0": [ 1600 ], + "Z": [ 1602 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1601 ], + "D1": [ 1602 ], + "SD": [ 936 ], + "Z": [ 1551 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39430": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1437 ], + "B": [ 1304 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1600 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1603 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1604 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1603 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1605 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1603 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1606 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1603 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1607 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1605 ], + "BLUT": [ 1604 ], + "C0": [ 1608 ], + "Z": [ 1609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1607 ], + "BLUT": [ 1606 ], + "C0": [ 1608 ], + "Z": [ 1610 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1609 ], + "D1": [ 1610 ], + "SD": [ 936 ], + "Z": [ 1554 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39432": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1386 ], + "B": [ 1305 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1608 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 1612 ], + "C": [ 1613 ], + "D": [ 1614 ], + "Z": [ 1615 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 1612 ], + "C": [ 1613 ], + "D": [ 1614 ], + "Z": [ 1616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 1612 ], + "C": [ 1613 ], + "D": [ 1614 ], + "Z": [ 1617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 1612 ], + "C": [ 1613 ], + "D": [ 1614 ], + "Z": [ 1618 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 1612 ], + "C": [ 1613 ], + "D": [ 1614 ], + "Z": [ 1619 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 1612 ], + "C": [ 1613 ], + "D": [ 1614 ], + "Z": [ 1620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 1612 ], + "C": [ 1613 ], + "D": [ 1614 ], + "Z": [ 1621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 1612 ], + "C": [ 1613 ], + "D": [ 1614 ], + "Z": [ 1622 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1616 ], + "BLUT": [ 1615 ], + "C0": [ 1623 ], + "Z": [ 1624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1618 ], + "BLUT": [ 1617 ], + "C0": [ 1623 ], + "Z": [ 1625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1620 ], + "BLUT": [ 1619 ], + "C0": [ 1623 ], + "Z": [ 1626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1622 ], + "BLUT": [ 1621 ], + "C0": [ 1623 ], + "Z": [ 1627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1624 ], + "D1": [ 1625 ], + "SD": [ 1628 ], + "Z": [ 1629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1626 ], + "D1": [ 1627 ], + "SD": [ 1628 ], + "Z": [ 1630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1629 ], + "D1": [ 1630 ], + "SD": [ 1631 ], + "Z": [ 893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1632 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1632 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1634 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1632 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1632 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1634 ], + "BLUT": [ 1633 ], + "C0": [ 1637 ], + "Z": [ 1638 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1636 ], + "BLUT": [ 1635 ], + "C0": [ 1637 ], + "Z": [ 1639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1638 ], + "D1": [ 1639 ], + "SD": [ 936 ], + "Z": [ 1631 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39435": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1454 ], + "B": [ 1310 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1637 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1640 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1641 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1640 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1642 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1640 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1643 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1640 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1644 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1642 ], + "BLUT": [ 1641 ], + "C0": [ 1645 ], + "Z": [ 1646 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1644 ], + "BLUT": [ 1643 ], + "C0": [ 1645 ], + "Z": [ 1647 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1646 ], + "D1": [ 1647 ], + "SD": [ 936 ], + "Z": [ 1611 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39437": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1435 ], + "B": [ 1080 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1645 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1648 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1649 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1648 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1650 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1648 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1651 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1648 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1652 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1650 ], + "BLUT": [ 1649 ], + "C0": [ 1653 ], + "Z": [ 1654 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1652 ], + "BLUT": [ 1651 ], + "C0": [ 1653 ], + "Z": [ 1655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1654 ], + "D1": [ 1655 ], + "SD": [ 936 ], + "Z": [ 1612 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39439": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1369 ], + "B": [ 1030 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1653 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1656 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1657 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1656 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1656 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1659 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1656 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1658 ], + "BLUT": [ 1657 ], + "C0": [ 1661 ], + "Z": [ 1662 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1660 ], + "BLUT": [ 1659 ], + "C0": [ 1661 ], + "Z": [ 1663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1662 ], + "D1": [ 1663 ], + "SD": [ 936 ], + "Z": [ 1613 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39441": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1373 ], + "B": [ 1154 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1661 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1664 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1665 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1664 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1666 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1664 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1667 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1664 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1668 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1666 ], + "BLUT": [ 1665 ], + "C0": [ 1669 ], + "Z": [ 1670 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1668 ], + "BLUT": [ 1667 ], + "C0": [ 1669 ], + "Z": [ 1671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1670 ], + "D1": [ 1671 ], + "SD": [ 936 ], + "Z": [ 1614 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39443": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1374 ], + "B": [ 1087 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1672 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1672 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1672 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1672 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1676 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1674 ], + "BLUT": [ 1673 ], + "C0": [ 1677 ], + "Z": [ 1678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1676 ], + "BLUT": [ 1675 ], + "C0": [ 1677 ], + "Z": [ 1679 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1678 ], + "D1": [ 1679 ], + "SD": [ 936 ], + "Z": [ 1623 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39445": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1385 ], + "B": [ 1033 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1677 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1680 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1681 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1680 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1680 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1680 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1682 ], + "BLUT": [ 1681 ], + "C0": [ 1685 ], + "Z": [ 1686 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1684 ], + "BLUT": [ 1683 ], + "C0": [ 1685 ], + "Z": [ 1687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1686 ], + "D1": [ 1687 ], + "SD": [ 936 ], + "Z": [ 1628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39447": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1436 ], + "B": [ 1029 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1685 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1688 ], + "B": [ 1689 ], + "C": [ 1690 ], + "D": [ 1691 ], + "Z": [ 1692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1688 ], + "B": [ 1689 ], + "C": [ 1690 ], + "D": [ 1691 ], + "Z": [ 1693 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1688 ], + "B": [ 1689 ], + "C": [ 1690 ], + "D": [ 1691 ], + "Z": [ 1694 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1688 ], + "B": [ 1689 ], + "C": [ 1690 ], + "D": [ 1691 ], + "Z": [ 1695 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1688 ], + "B": [ 1689 ], + "C": [ 1690 ], + "D": [ 1691 ], + "Z": [ 1696 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1688 ], + "B": [ 1689 ], + "C": [ 1690 ], + "D": [ 1691 ], + "Z": [ 1697 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1688 ], + "B": [ 1689 ], + "C": [ 1690 ], + "D": [ 1691 ], + "Z": [ 1698 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1688 ], + "B": [ 1689 ], + "C": [ 1690 ], + "D": [ 1691 ], + "Z": [ 1699 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1693 ], + "BLUT": [ 1692 ], + "C0": [ 1700 ], + "Z": [ 1701 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1695 ], + "BLUT": [ 1694 ], + "C0": [ 1700 ], + "Z": [ 1702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1697 ], + "BLUT": [ 1696 ], + "C0": [ 1700 ], + "Z": [ 1703 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1699 ], + "BLUT": [ 1698 ], + "C0": [ 1700 ], + "Z": [ 1704 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1701 ], + "D1": [ 1702 ], + "SD": [ 1705 ], + "Z": [ 1706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1703 ], + "D1": [ 1704 ], + "SD": [ 1705 ], + "Z": [ 1707 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1706 ], + "D1": [ 1707 ], + "SD": [ 1708 ], + "Z": [ 894 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39449": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 127 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 927 ], + "B": [ 928 ], + "C": [ 926 ], + "D": [ 936 ], + "Z": [ 1708 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1709 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1710 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1709 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1711 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1709 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1712 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1709 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1713 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1711 ], + "BLUT": [ 1710 ], + "C0": [ 1714 ], + "Z": [ 1715 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1713 ], + "BLUT": [ 1712 ], + "C0": [ 1714 ], + "Z": [ 1716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1715 ], + "D1": [ 1716 ], + "SD": [ 936 ], + "Z": [ 1700 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39451": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1414 ], + "B": [ 1165 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1714 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65280 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 927 ], + "B": [ 928 ], + "C": [ 926 ], + "D": [ 938 ], + "Z": [ 1717 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 927 ], + "B": [ 928 ], + "C": [ 926 ], + "D": [ 938 ], + "Z": [ 1718 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 927 ], + "B": [ 928 ], + "C": [ 926 ], + "D": [ 938 ], + "Z": [ 1719 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 927 ], + "B": [ 928 ], + "C": [ 926 ], + "D": [ 938 ], + "Z": [ 1720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1718 ], + "BLUT": [ 1717 ], + "C0": [ 936 ], + "Z": [ 1721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1720 ], + "BLUT": [ 1719 ], + "C0": [ 936 ], + "Z": [ 1722 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1721 ], + "D1": [ 1722 ], + "SD": [ 1723 ], + "Z": [ 1705 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39453": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 936 ], + "B": [ 937 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1691 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39454": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1415 ], + "B": [ 1081 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1690 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1724 ], + "B": [ 1725 ], + "C": [ 1726 ], + "D": [ 1727 ], + "Z": [ 1728 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1724 ], + "B": [ 1725 ], + "C": [ 1726 ], + "D": [ 1727 ], + "Z": [ 1729 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1724 ], + "B": [ 1725 ], + "C": [ 1726 ], + "D": [ 1727 ], + "Z": [ 1730 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1724 ], + "B": [ 1725 ], + "C": [ 1726 ], + "D": [ 1727 ], + "Z": [ 1731 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1724 ], + "B": [ 1725 ], + "C": [ 1726 ], + "D": [ 1727 ], + "Z": [ 1732 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1724 ], + "B": [ 1725 ], + "C": [ 1726 ], + "D": [ 1727 ], + "Z": [ 1733 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1724 ], + "B": [ 1725 ], + "C": [ 1726 ], + "D": [ 1727 ], + "Z": [ 1734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1724 ], + "B": [ 1725 ], + "C": [ 1726 ], + "D": [ 1727 ], + "Z": [ 1735 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1729 ], + "BLUT": [ 1728 ], + "C0": [ 1736 ], + "Z": [ 1737 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1731 ], + "BLUT": [ 1730 ], + "C0": [ 1736 ], + "Z": [ 1738 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1733 ], + "BLUT": [ 1732 ], + "C0": [ 1736 ], + "Z": [ 1739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1735 ], + "BLUT": [ 1734 ], + "C0": [ 1736 ], + "Z": [ 1740 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1737 ], + "D1": [ 1738 ], + "SD": [ 1741 ], + "Z": [ 1742 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1739 ], + "D1": [ 1740 ], + "SD": [ 1741 ], + "Z": [ 1743 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1742 ], + "D1": [ 1743 ], + "SD": [ 1708 ], + "Z": [ 895 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39456": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1453 ], + "B": [ 1266 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39457": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1381 ], + "B": [ 1079 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1736 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39458": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1382 ], + "B": [ 969 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1741 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 5 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1744 ], + "B": [ 1745 ], + "C": [ 1746 ], + "D": [ 1747 ], + "Z": [ 1748 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1744 ], + "B": [ 1745 ], + "C": [ 1746 ], + "D": [ 1747 ], + "Z": [ 1749 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1744 ], + "B": [ 1745 ], + "C": [ 1746 ], + "D": [ 1747 ], + "Z": [ 1750 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1744 ], + "B": [ 1745 ], + "C": [ 1746 ], + "D": [ 1747 ], + "Z": [ 1751 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1744 ], + "B": [ 1745 ], + "C": [ 1746 ], + "D": [ 1747 ], + "Z": [ 1752 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1744 ], + "B": [ 1745 ], + "C": [ 1746 ], + "D": [ 1747 ], + "Z": [ 1753 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1744 ], + "B": [ 1745 ], + "C": [ 1746 ], + "D": [ 1747 ], + "Z": [ 1754 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1744 ], + "B": [ 1745 ], + "C": [ 1746 ], + "D": [ 1747 ], + "Z": [ 1755 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1749 ], + "BLUT": [ 1748 ], + "C0": [ 888 ], + "Z": [ 1756 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1751 ], + "BLUT": [ 1750 ], + "C0": [ 888 ], + "Z": [ 1757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1753 ], + "BLUT": [ 1752 ], + "C0": [ 888 ], + "Z": [ 1758 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1755 ], + "BLUT": [ 1754 ], + "C0": [ 888 ], + "Z": [ 1759 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1756 ], + "D1": [ 1757 ], + "SD": [ 887 ], + "Z": [ 1760 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1758 ], + "D1": [ 1759 ], + "SD": [ 887 ], + "Z": [ 1761 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1760 ], + "D1": [ 1761 ], + "SD": [ 1708 ], + "Z": [ 904 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1762 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1762 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1762 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1762 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1766 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1764 ], + "BLUT": [ 1763 ], + "C0": [ 1767 ], + "Z": [ 1768 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1766 ], + "BLUT": [ 1765 ], + "C0": [ 1767 ], + "Z": [ 1769 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1768 ], + "D1": [ 1769 ], + "SD": [ 936 ], + "Z": [ 887 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39461": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1420 ], + "B": [ 966 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1767 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1770 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1770 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1772 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1770 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1773 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1770 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1774 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1772 ], + "BLUT": [ 1771 ], + "C0": [ 1775 ], + "Z": [ 1776 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1774 ], + "BLUT": [ 1773 ], + "C0": [ 1775 ], + "Z": [ 1777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1776 ], + "D1": [ 1777 ], + "SD": [ 936 ], + "Z": [ 1746 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39463": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1419 ], + "B": [ 1082 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1775 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1778 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1778 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1780 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1778 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1781 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1778 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1782 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1780 ], + "BLUT": [ 1779 ], + "C0": [ 1783 ], + "Z": [ 1784 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1782 ], + "BLUT": [ 1781 ], + "C0": [ 1783 ], + "Z": [ 1785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1784 ], + "D1": [ 1785 ], + "SD": [ 936 ], + "Z": [ 1747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39465": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1452 ], + "B": [ 963 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1783 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1786 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1787 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1786 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1788 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1786 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1789 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1786 ], + "B": [ 926 ], + "C": [ 927 ], + "D": [ 928 ], + "Z": [ 1790 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1788 ], + "BLUT": [ 1787 ], + "C0": [ 1791 ], + "Z": [ 1792 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1790 ], + "BLUT": [ 1789 ], + "C0": [ 1791 ], + "Z": [ 1793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1792 ], + "D1": [ 1793 ], + "SD": [ 936 ], + "Z": [ 888 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39467": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1377 ], + "B": [ 1156 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1791 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39468": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1378 ], + "B": [ 1264 ], + "C": [ 941 ], + "D": [ "0" ], + "Z": [ 1745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39469": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1794 ], + "B": [ 1535 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 864 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39470": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1795 ], + "B": [ 916 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 865 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39471": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1796 ], + "B": [ 921 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 866 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39472": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1797 ], + "B": [ 1798 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 867 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39473": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1725 ], + "B": [ 1741 ], + "C": [ 1708 ], + "D": [ "0" ], + "Z": [ 1798 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39474": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1799 ], + "B": [ 1747 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 876 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39475": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1800 ], + "B": [ 1746 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 881 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1801 ], + "B": [ 1802 ], + "C": [ 1803 ], + "D": [ 1804 ], + "Z": [ 1805 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1801 ], + "B": [ 1802 ], + "C": [ 1803 ], + "D": [ 1804 ], + "Z": [ 1806 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1801 ], + "B": [ 1802 ], + "C": [ 1803 ], + "D": [ 1804 ], + "Z": [ 1807 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1801 ], + "B": [ 1802 ], + "C": [ 1803 ], + "D": [ 1804 ], + "Z": [ 1808 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1801 ], + "B": [ 1802 ], + "C": [ 1803 ], + "D": [ 1804 ], + "Z": [ 1809 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1801 ], + "B": [ 1802 ], + "C": [ 1803 ], + "D": [ 1804 ], + "Z": [ 1810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1801 ], + "B": [ 1802 ], + "C": [ 1803 ], + "D": [ 1804 ], + "Z": [ 1811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1801 ], + "B": [ 1802 ], + "C": [ 1803 ], + "D": [ 1804 ], + "Z": [ 1812 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1806 ], + "BLUT": [ 1805 ], + "C0": [ 1813 ], + "Z": [ 1814 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1808 ], + "BLUT": [ 1807 ], + "C0": [ 1813 ], + "Z": [ 1815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1810 ], + "BLUT": [ 1809 ], + "C0": [ 1813 ], + "Z": [ 1816 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1812 ], + "BLUT": [ 1811 ], + "C0": [ 1813 ], + "Z": [ 1817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1814 ], + "D1": [ 1815 ], + "SD": [ 1818 ], + "Z": [ 1819 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1816 ], + "D1": [ 1817 ], + "SD": [ 1818 ], + "Z": [ 1820 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1819 ], + "D1": [ 1820 ], + "SD": [ 1821 ], + "Z": [ 845 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39477": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1822 ], + "B": [ 913 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1801 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39478": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 20292 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 912 ], + "B": [ 924 ], + "C": [ 891 ], + "D": [ 1823 ], + "Z": [ 1802 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39479.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1824 ], + "B": [ 1825 ], + "C": [ 1534 ], + "D": [ 1631 ], + "Z": [ 1826 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39479.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1824 ], + "B": [ 1825 ], + "C": [ 1534 ], + "D": [ 1631 ], + "Z": [ 1827 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39479.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1827 ], + "BLUT": [ 1826 ], + "C0": [ 891 ], + "Z": [ 1818 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39480": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1828 ], + "B": [ 1829 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1803 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39481": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1689 ], + "B": [ 1690 ], + "C": [ 1708 ], + "D": [ "0" ], + "Z": [ 1829 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39482": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1830 ], + "B": [ 1546 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1804 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39483": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1831 ], + "B": [ 1623 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1813 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39484": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1832 ], + "B": [ 1611 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1821 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1833 ], + "B": [ 1834 ], + "C": [ 1835 ], + "D": [ 1836 ], + "Z": [ 1837 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1833 ], + "B": [ 1834 ], + "C": [ 1835 ], + "D": [ 1836 ], + "Z": [ 1838 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1833 ], + "B": [ 1834 ], + "C": [ 1835 ], + "D": [ 1836 ], + "Z": [ 1839 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1833 ], + "B": [ 1834 ], + "C": [ 1835 ], + "D": [ 1836 ], + "Z": [ 1840 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1833 ], + "B": [ 1834 ], + "C": [ 1835 ], + "D": [ 1836 ], + "Z": [ 1841 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1833 ], + "B": [ 1834 ], + "C": [ 1835 ], + "D": [ 1836 ], + "Z": [ 1842 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1833 ], + "B": [ 1834 ], + "C": [ 1835 ], + "D": [ 1836 ], + "Z": [ 1843 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1833 ], + "B": [ 1834 ], + "C": [ 1835 ], + "D": [ 1836 ], + "Z": [ 1844 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1838 ], + "BLUT": [ 1837 ], + "C0": [ 1845 ], + "Z": [ 1846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1840 ], + "BLUT": [ 1839 ], + "C0": [ 1845 ], + "Z": [ 1847 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1842 ], + "BLUT": [ 1841 ], + "C0": [ 1845 ], + "Z": [ 1848 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1844 ], + "BLUT": [ 1843 ], + "C0": [ 1845 ], + "Z": [ 1849 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1846 ], + "D1": [ 1847 ], + "SD": [ 1850 ], + "Z": [ 1851 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1848 ], + "D1": [ 1849 ], + "SD": [ 1850 ], + "Z": [ 1852 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1851 ], + "D1": [ 1852 ], + "SD": [ 1853 ], + "Z": [ 846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39486": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1854 ], + "B": [ 1855 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1833 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39487": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1726 ], + "B": [ 1727 ], + "C": [ 1708 ], + "D": [ "0" ], + "Z": [ 1855 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39488": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1856 ], + "B": [ 1705 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1834 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39489": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1857 ], + "B": [ 1858 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1835 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39490": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1724 ], + "B": [ 1736 ], + "C": [ 1708 ], + "D": [ "0" ], + "Z": [ 1858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39491": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1859 ], + "B": [ 1700 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1836 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39492": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1860 ], + "B": [ 1614 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1845 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39493": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1861 ], + "B": [ 915 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1850 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39494.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1862 ], + "B": [ 1863 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1864 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39494.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1862 ], + "B": [ 1863 ], + "C": [ 1536 ], + "D": [ 1537 ], + "Z": [ 1865 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39494.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1865 ], + "BLUT": [ 1864 ], + "C0": [ 891 ], + "Z": [ 1853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1866 ], + "B": [ 1867 ], + "C": [ 1868 ], + "D": [ 1869 ], + "Z": [ 1870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1866 ], + "B": [ 1867 ], + "C": [ 1868 ], + "D": [ 1869 ], + "Z": [ 1871 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1866 ], + "B": [ 1867 ], + "C": [ 1868 ], + "D": [ 1869 ], + "Z": [ 1872 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1866 ], + "B": [ 1867 ], + "C": [ 1868 ], + "D": [ 1869 ], + "Z": [ 1873 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1866 ], + "B": [ 1867 ], + "C": [ 1868 ], + "D": [ 1869 ], + "Z": [ 1874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1866 ], + "B": [ 1867 ], + "C": [ 1868 ], + "D": [ 1869 ], + "Z": [ 1875 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1866 ], + "B": [ 1867 ], + "C": [ 1868 ], + "D": [ 1869 ], + "Z": [ 1876 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1866 ], + "B": [ 1867 ], + "C": [ 1868 ], + "D": [ 1869 ], + "Z": [ 1877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1871 ], + "BLUT": [ 1870 ], + "C0": [ 1878 ], + "Z": [ 1879 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1873 ], + "BLUT": [ 1872 ], + "C0": [ 1878 ], + "Z": [ 1880 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1875 ], + "BLUT": [ 1874 ], + "C0": [ 1878 ], + "Z": [ 1881 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1877 ], + "BLUT": [ 1876 ], + "C0": [ 1878 ], + "Z": [ 1882 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1879 ], + "D1": [ 1880 ], + "SD": [ 1883 ], + "Z": [ 1884 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1881 ], + "D1": [ 1882 ], + "SD": [ 1883 ], + "Z": [ 1885 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1884 ], + "D1": [ 1885 ], + "SD": [ 1886 ], + "Z": [ 855 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39496": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1887 ], + "B": [ 1888 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1866 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39497": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1744 ], + "B": [ 1745 ], + "C": [ 1708 ], + "D": [ "0" ], + "Z": [ 1888 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39498": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1889 ], + "B": [ 1551 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1883 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39499.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1890 ], + "B": [ 1891 ], + "C": [ 1628 ], + "D": [ 1554 ], + "Z": [ 1892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39499.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1890 ], + "B": [ 1891 ], + "C": [ 1628 ], + "D": [ 1554 ], + "Z": [ 1893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39499.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1893 ], + "BLUT": [ 1892 ], + "C0": [ 891 ], + "Z": [ 1886 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39500": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1894 ], + "B": [ 1613 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1867 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39501": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1895 ], + "B": [ 914 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1868 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39502": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1896 ], + "B": [ 1897 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1869 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39503": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 244 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1708 ], + "B": [ 1688 ], + "C": [ 1691 ], + "D": [ "0" ], + "Z": [ 1897 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39504": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1898 ], + "B": [ 1612 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39505": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 886 ], + "B": [ 887 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 860 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1899 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1900 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1899 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1901 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1899 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1902 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1899 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1903 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1899 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1904 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1899 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1905 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1899 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1906 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1899 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1907 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1901 ], + "BLUT": [ 1900 ], + "C0": [ 855 ], + "Z": [ 1908 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1903 ], + "BLUT": [ 1902 ], + "C0": [ 855 ], + "Z": [ 1909 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1905 ], + "BLUT": [ 1904 ], + "C0": [ 855 ], + "Z": [ 1910 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1907 ], + "BLUT": [ 1906 ], + "C0": [ 855 ], + "Z": [ 1911 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1908 ], + "D1": [ 1909 ], + "SD": [ 1912 ], + "Z": [ 1913 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1910 ], + "D1": [ 1911 ], + "SD": [ 1912 ], + "Z": [ 1914 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1913 ], + "D1": [ 1914 ], + "SD": [ 863 ], + "Z": [ 841 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39507": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1891 ], + "B": [ 1554 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1912 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1915 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1916 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1915 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1917 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1915 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1918 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1915 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1919 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1915 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1920 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1915 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1921 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1915 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1922 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1915 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1923 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1917 ], + "BLUT": [ 1916 ], + "C0": [ 855 ], + "Z": [ 1924 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1919 ], + "BLUT": [ 1918 ], + "C0": [ 855 ], + "Z": [ 1925 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1921 ], + "BLUT": [ 1920 ], + "C0": [ 855 ], + "Z": [ 1926 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1923 ], + "BLUT": [ 1922 ], + "C0": [ 855 ], + "Z": [ 1927 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1924 ], + "D1": [ 1925 ], + "SD": [ 1833 ], + "Z": [ 1928 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1926 ], + "D1": [ 1927 ], + "SD": [ 1833 ], + "Z": [ 1929 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1928 ], + "D1": [ 1929 ], + "SD": [ 863 ], + "Z": [ 840 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1930 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1931 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1930 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1932 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1930 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1933 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1930 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1934 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1930 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1935 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1930 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1936 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1930 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1937 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1930 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1938 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1932 ], + "BLUT": [ 1931 ], + "C0": [ 855 ], + "Z": [ 1939 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1934 ], + "BLUT": [ 1933 ], + "C0": [ 855 ], + "Z": [ 1940 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1936 ], + "BLUT": [ 1935 ], + "C0": [ 855 ], + "Z": [ 1941 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1938 ], + "BLUT": [ 1937 ], + "C0": [ 855 ], + "Z": [ 1942 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1939 ], + "D1": [ 1940 ], + "SD": [ 1883 ], + "Z": [ 1943 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1941 ], + "D1": [ 1942 ], + "SD": [ 1883 ], + "Z": [ 1944 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1943 ], + "D1": [ 1944 ], + "SD": [ 863 ], + "Z": [ 842 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1945 ], + "B": [ 1946 ], + "C": [ 1801 ], + "D": [ 865 ], + "Z": [ 1947 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1945 ], + "B": [ 1946 ], + "C": [ 1801 ], + "D": [ 865 ], + "Z": [ 1948 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1945 ], + "B": [ 1946 ], + "C": [ 1801 ], + "D": [ 865 ], + "Z": [ 1949 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1945 ], + "B": [ 1946 ], + "C": [ 1801 ], + "D": [ 865 ], + "Z": [ 1950 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1945 ], + "B": [ 1946 ], + "C": [ 1801 ], + "D": [ 865 ], + "Z": [ 1951 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1945 ], + "B": [ 1946 ], + "C": [ 1801 ], + "D": [ 865 ], + "Z": [ 1952 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1945 ], + "B": [ 1946 ], + "C": [ 1801 ], + "D": [ 865 ], + "Z": [ 1953 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1945 ], + "B": [ 1946 ], + "C": [ 1801 ], + "D": [ 865 ], + "Z": [ 1954 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1948 ], + "BLUT": [ 1947 ], + "C0": [ 831 ], + "Z": [ 1955 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1950 ], + "BLUT": [ 1949 ], + "C0": [ 831 ], + "Z": [ 1956 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1952 ], + "BLUT": [ 1951 ], + "C0": [ 831 ], + "Z": [ 1957 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1954 ], + "BLUT": [ 1953 ], + "C0": [ 831 ], + "Z": [ 1958 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1955 ], + "D1": [ 1956 ], + "SD": [ 1959 ], + "Z": [ 1960 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1957 ], + "D1": [ 1958 ], + "SD": [ 1959 ], + "Z": [ 1961 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1960 ], + "D1": [ 1961 ], + "SD": [ 1962 ], + "Z": [ 836 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39511.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 845 ], + "B": [ 846 ], + "C": [ 855 ], + "D": [ 844 ], + "Z": [ 1963 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39511.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 845 ], + "B": [ 846 ], + "C": [ 855 ], + "D": [ 844 ], + "Z": [ 1964 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39511.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1964 ], + "BLUT": [ 1963 ], + "C0": [ 863 ], + "Z": [ 1962 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1965 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1966 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1965 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1967 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1965 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1968 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1965 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1969 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1965 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1970 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1965 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1971 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1965 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1972 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1965 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1973 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1967 ], + "BLUT": [ 1966 ], + "C0": [ 855 ], + "Z": [ 1974 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1969 ], + "BLUT": [ 1968 ], + "C0": [ 855 ], + "Z": [ 1975 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1971 ], + "BLUT": [ 1970 ], + "C0": [ 855 ], + "Z": [ 1976 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1973 ], + "BLUT": [ 1972 ], + "C0": [ 855 ], + "Z": [ 1977 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1974 ], + "D1": [ 1975 ], + "SD": [ 1802 ], + "Z": [ 1978 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1976 ], + "D1": [ 1977 ], + "SD": [ 1802 ], + "Z": [ 1979 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1978 ], + "D1": [ 1979 ], + "SD": [ 863 ], + "Z": [ 831 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1980 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1981 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1980 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1982 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1980 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1983 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1980 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1984 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1980 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1985 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1980 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1986 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1980 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1987 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1980 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 1988 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1982 ], + "BLUT": [ 1981 ], + "C0": [ 855 ], + "Z": [ 1989 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1984 ], + "BLUT": [ 1983 ], + "C0": [ 855 ], + "Z": [ 1990 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1986 ], + "BLUT": [ 1985 ], + "C0": [ 855 ], + "Z": [ 1991 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1988 ], + "BLUT": [ 1987 ], + "C0": [ 855 ], + "Z": [ 1992 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1989 ], + "D1": [ 1990 ], + "SD": [ 1993 ], + "Z": [ 1994 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1991 ], + "D1": [ 1992 ], + "SD": [ 1993 ], + "Z": [ 1995 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 1994 ], + "D1": [ 1995 ], + "SD": [ 863 ], + "Z": [ 1959 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39514": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1825 ], + "B": [ 1631 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 1993 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39515": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1996 ], + "B": [ 1997 ], + "C": [ 1998 ], + "D": [ 1999 ], + "Z": [ 837 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2000 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2001 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2000 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2002 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2000 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2003 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2000 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2004 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2000 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2005 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2000 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2006 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2000 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2007 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2000 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2008 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2002 ], + "BLUT": [ 2001 ], + "C0": [ 855 ], + "Z": [ 2009 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2004 ], + "BLUT": [ 2003 ], + "C0": [ 855 ], + "Z": [ 2010 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2006 ], + "BLUT": [ 2005 ], + "C0": [ 855 ], + "Z": [ 2011 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2008 ], + "BLUT": [ 2007 ], + "C0": [ 855 ], + "Z": [ 2012 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2009 ], + "D1": [ 2010 ], + "SD": [ 2013 ], + "Z": [ 2014 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2011 ], + "D1": [ 2012 ], + "SD": [ 2013 ], + "Z": [ 2015 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2014 ], + "D1": [ 2015 ], + "SD": [ 863 ], + "Z": [ 1996 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39517": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 885 ], + "B": [ 888 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 2013 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2016 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2017 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2016 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2018 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2016 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2019 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2016 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2020 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2016 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2021 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2016 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2022 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2016 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2023 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2016 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2024 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2018 ], + "BLUT": [ 2017 ], + "C0": [ 855 ], + "Z": [ 2025 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2020 ], + "BLUT": [ 2019 ], + "C0": [ 855 ], + "Z": [ 2026 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2022 ], + "BLUT": [ 2021 ], + "C0": [ 855 ], + "Z": [ 2027 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2024 ], + "BLUT": [ 2023 ], + "C0": [ 855 ], + "Z": [ 2028 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2025 ], + "D1": [ 2026 ], + "SD": [ 866 ], + "Z": [ 2029 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2027 ], + "D1": [ 2028 ], + "SD": [ 866 ], + "Z": [ 2030 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2029 ], + "D1": [ 2030 ], + "SD": [ 863 ], + "Z": [ 1997 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2031 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2032 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2031 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2033 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2031 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2034 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2031 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2035 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2031 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2036 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2031 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2037 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2031 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2038 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2031 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2039 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2033 ], + "BLUT": [ 2032 ], + "C0": [ 855 ], + "Z": [ 2040 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2035 ], + "BLUT": [ 2034 ], + "C0": [ 855 ], + "Z": [ 2041 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2037 ], + "BLUT": [ 2036 ], + "C0": [ 855 ], + "Z": [ 2042 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2039 ], + "BLUT": [ 2038 ], + "C0": [ 855 ], + "Z": [ 2043 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2040 ], + "D1": [ 2041 ], + "SD": [ 864 ], + "Z": [ 2044 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2042 ], + "D1": [ 2043 ], + "SD": [ 864 ], + "Z": [ 2045 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2044 ], + "D1": [ 2045 ], + "SD": [ 863 ], + "Z": [ 1998 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2046 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2047 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2046 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2048 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2046 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2049 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2046 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2050 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2046 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2051 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2046 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2052 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2046 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2053 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2046 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2054 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2048 ], + "BLUT": [ 2047 ], + "C0": [ 855 ], + "Z": [ 2055 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2050 ], + "BLUT": [ 2049 ], + "C0": [ 855 ], + "Z": [ 2056 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2052 ], + "BLUT": [ 2051 ], + "C0": [ 855 ], + "Z": [ 2057 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2054 ], + "BLUT": [ 2053 ], + "C0": [ 855 ], + "Z": [ 2058 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2055 ], + "D1": [ 2056 ], + "SD": [ 2059 ], + "Z": [ 2060 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2057 ], + "D1": [ 2058 ], + "SD": [ 2059 ], + "Z": [ 2061 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2060 ], + "D1": [ 2061 ], + "SD": [ 863 ], + "Z": [ 1999 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39521": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1862 ], + "B": [ 1536 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 2059 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2062 ], + "B": [ 2063 ], + "C": [ 1867 ], + "D": [ 1845 ], + "Z": [ 2064 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2062 ], + "B": [ 2063 ], + "C": [ 1867 ], + "D": [ 1845 ], + "Z": [ 2065 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2062 ], + "B": [ 2063 ], + "C": [ 1867 ], + "D": [ 1845 ], + "Z": [ 2066 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2062 ], + "B": [ 2063 ], + "C": [ 1867 ], + "D": [ 1845 ], + "Z": [ 2067 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2062 ], + "B": [ 2063 ], + "C": [ 1867 ], + "D": [ 1845 ], + "Z": [ 2068 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2062 ], + "B": [ 2063 ], + "C": [ 1867 ], + "D": [ 1845 ], + "Z": [ 2069 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2062 ], + "B": [ 2063 ], + "C": [ 1867 ], + "D": [ 1845 ], + "Z": [ 2070 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2062 ], + "B": [ 2063 ], + "C": [ 1867 ], + "D": [ 1845 ], + "Z": [ 2071 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2065 ], + "BLUT": [ 2064 ], + "C0": [ 2072 ], + "Z": [ 2073 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2067 ], + "BLUT": [ 2066 ], + "C0": [ 2072 ], + "Z": [ 2074 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2069 ], + "BLUT": [ 2068 ], + "C0": [ 2072 ], + "Z": [ 2075 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2071 ], + "BLUT": [ 2070 ], + "C0": [ 2072 ], + "Z": [ 2076 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2073 ], + "D1": [ 2074 ], + "SD": [ 2077 ], + "Z": [ 2078 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2075 ], + "D1": [ 2076 ], + "SD": [ 2077 ], + "Z": [ 2079 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2078 ], + "D1": [ 2079 ], + "SD": [ 1962 ], + "Z": [ 838 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2080 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2081 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2080 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2082 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2080 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2083 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2080 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2084 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2080 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2085 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2080 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2086 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2080 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2087 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2080 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2088 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2082 ], + "BLUT": [ 2081 ], + "C0": [ 855 ], + "Z": [ 2089 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2084 ], + "BLUT": [ 2083 ], + "C0": [ 855 ], + "Z": [ 2090 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2086 ], + "BLUT": [ 2085 ], + "C0": [ 855 ], + "Z": [ 2091 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2088 ], + "BLUT": [ 2087 ], + "C0": [ 855 ], + "Z": [ 2092 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2089 ], + "D1": [ 2090 ], + "SD": [ 1866 ], + "Z": [ 2093 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2091 ], + "D1": [ 2092 ], + "SD": [ 1866 ], + "Z": [ 2094 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2093 ], + "D1": [ 2094 ], + "SD": [ 863 ], + "Z": [ 2072 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2095 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2096 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2095 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2097 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2095 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2098 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2095 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2099 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2095 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2100 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2095 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2101 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2095 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2102 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2095 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2097 ], + "BLUT": [ 2096 ], + "C0": [ 855 ], + "Z": [ 2104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2099 ], + "BLUT": [ 2098 ], + "C0": [ 855 ], + "Z": [ 2105 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2101 ], + "BLUT": [ 2100 ], + "C0": [ 855 ], + "Z": [ 2106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2103 ], + "BLUT": [ 2102 ], + "C0": [ 855 ], + "Z": [ 2107 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2104 ], + "D1": [ 2105 ], + "SD": [ 876 ], + "Z": [ 2108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2106 ], + "D1": [ 2107 ], + "SD": [ 876 ], + "Z": [ 2109 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2108 ], + "D1": [ 2109 ], + "SD": [ 863 ], + "Z": [ 2077 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2110 ], + "B": [ 2111 ], + "C": [ 2112 ], + "D": [ 2113 ], + "Z": [ 2114 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2110 ], + "B": [ 2111 ], + "C": [ 2112 ], + "D": [ 2113 ], + "Z": [ 2115 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2110 ], + "B": [ 2111 ], + "C": [ 2112 ], + "D": [ 2113 ], + "Z": [ 2116 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2110 ], + "B": [ 2111 ], + "C": [ 2112 ], + "D": [ 2113 ], + "Z": [ 2117 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2110 ], + "B": [ 2111 ], + "C": [ 2112 ], + "D": [ 2113 ], + "Z": [ 2118 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2110 ], + "B": [ 2111 ], + "C": [ 2112 ], + "D": [ 2113 ], + "Z": [ 2119 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2110 ], + "B": [ 2111 ], + "C": [ 2112 ], + "D": [ 2113 ], + "Z": [ 2120 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2110 ], + "B": [ 2111 ], + "C": [ 2112 ], + "D": [ 2113 ], + "Z": [ 2121 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2115 ], + "BLUT": [ 2114 ], + "C0": [ 2122 ], + "Z": [ 2123 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2117 ], + "BLUT": [ 2116 ], + "C0": [ 2122 ], + "Z": [ 2124 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2119 ], + "BLUT": [ 2118 ], + "C0": [ 2122 ], + "Z": [ 2125 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2121 ], + "BLUT": [ 2120 ], + "C0": [ 2122 ], + "Z": [ 2126 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2123 ], + "D1": [ 2124 ], + "SD": [ 2127 ], + "Z": [ 2128 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2125 ], + "D1": [ 2126 ], + "SD": [ 2127 ], + "Z": [ 2129 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2128 ], + "D1": [ 2129 ], + "SD": [ 2130 ], + "Z": [ 830 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39526": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2131 ], + "B": [ 2132 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 2112 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2133 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2134 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2133 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2133 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2136 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2133 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2137 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2133 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2138 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2133 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2133 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2140 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2133 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2141 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2135 ], + "BLUT": [ 2134 ], + "C0": [ 855 ], + "Z": [ 2142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2137 ], + "BLUT": [ 2136 ], + "C0": [ 855 ], + "Z": [ 2143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2139 ], + "BLUT": [ 2138 ], + "C0": [ 855 ], + "Z": [ 2144 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2141 ], + "BLUT": [ 2140 ], + "C0": [ 855 ], + "Z": [ 2145 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2142 ], + "D1": [ 2143 ], + "SD": [ 1878 ], + "Z": [ 2146 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2144 ], + "D1": [ 2145 ], + "SD": [ 1878 ], + "Z": [ 2147 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2146 ], + "D1": [ 2147 ], + "SD": [ 863 ], + "Z": [ 2131 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2148 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2149 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2148 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2150 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2148 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2151 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2148 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2152 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2148 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2153 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2148 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2154 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2148 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2148 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2156 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2150 ], + "BLUT": [ 2149 ], + "C0": [ 855 ], + "Z": [ 2157 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2152 ], + "BLUT": [ 2151 ], + "C0": [ 855 ], + "Z": [ 2158 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2154 ], + "BLUT": [ 2153 ], + "C0": [ 855 ], + "Z": [ 2159 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2156 ], + "BLUT": [ 2155 ], + "C0": [ 855 ], + "Z": [ 2160 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2157 ], + "D1": [ 2158 ], + "SD": [ 1836 ], + "Z": [ 2161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2159 ], + "D1": [ 2160 ], + "SD": [ 1836 ], + "Z": [ 2162 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2161 ], + "D1": [ 2162 ], + "SD": [ 863 ], + "Z": [ 2132 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39529": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2163 ], + "B": [ 2164 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 2113 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2165 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2166 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2165 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2167 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2165 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2165 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2169 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2165 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2170 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2165 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2171 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2165 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2172 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2165 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2167 ], + "BLUT": [ 2166 ], + "C0": [ 855 ], + "Z": [ 2174 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2169 ], + "BLUT": [ 2168 ], + "C0": [ 855 ], + "Z": [ 2175 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2171 ], + "BLUT": [ 2170 ], + "C0": [ 855 ], + "Z": [ 2176 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2173 ], + "BLUT": [ 2172 ], + "C0": [ 855 ], + "Z": [ 2177 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2174 ], + "D1": [ 2175 ], + "SD": [ 1821 ], + "Z": [ 2178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2176 ], + "D1": [ 2177 ], + "SD": [ 1821 ], + "Z": [ 2179 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2178 ], + "D1": [ 2179 ], + "SD": [ 863 ], + "Z": [ 2164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2180 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2181 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2180 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2182 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2180 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2183 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2180 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2184 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2180 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2185 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2180 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2186 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2180 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2180 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2182 ], + "BLUT": [ 2181 ], + "C0": [ 855 ], + "Z": [ 2189 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2184 ], + "BLUT": [ 2183 ], + "C0": [ 855 ], + "Z": [ 2190 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2186 ], + "BLUT": [ 2185 ], + "C0": [ 855 ], + "Z": [ 2191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2188 ], + "BLUT": [ 2187 ], + "C0": [ 855 ], + "Z": [ 2192 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2189 ], + "D1": [ 2190 ], + "SD": [ 1803 ], + "Z": [ 2193 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2191 ], + "D1": [ 2192 ], + "SD": [ 1803 ], + "Z": [ 2194 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2193 ], + "D1": [ 2194 ], + "SD": [ 863 ], + "Z": [ 2163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39532": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2195 ], + "B": [ 1869 ], + "C": [ 1962 ], + "D": [ "0" ], + "Z": [ 2110 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39533": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2196 ], + "B": [ 1834 ], + "C": [ 1962 ], + "D": [ "0" ], + "Z": [ 2111 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39534": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 773 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2197 ], + "B": [ 1850 ], + "C": [ 2198 ], + "D": [ 1962 ], + "Z": [ 2122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2199 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2200 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2199 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2201 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2199 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2202 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2199 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2203 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2199 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2204 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2199 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2205 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2199 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2206 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2199 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2207 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2201 ], + "BLUT": [ 2200 ], + "C0": [ 855 ], + "Z": [ 2208 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2203 ], + "BLUT": [ 2202 ], + "C0": [ 855 ], + "Z": [ 2209 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2205 ], + "BLUT": [ 2204 ], + "C0": [ 855 ], + "Z": [ 2210 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2207 ], + "BLUT": [ 2206 ], + "C0": [ 855 ], + "Z": [ 2211 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2208 ], + "D1": [ 2209 ], + "SD": [ 1868 ], + "Z": [ 2212 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2210 ], + "D1": [ 2211 ], + "SD": [ 1868 ], + "Z": [ 2213 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2212 ], + "D1": [ 2213 ], + "SD": [ 863 ], + "Z": [ 2198 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39536": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2214 ], + "B": [ 2215 ], + "C": [ 2216 ], + "D": [ 2217 ], + "Z": [ 2127 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2218 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2219 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2218 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2220 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2218 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2221 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2218 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2222 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2218 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2218 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2224 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2218 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2218 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2226 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2220 ], + "BLUT": [ 2219 ], + "C0": [ 855 ], + "Z": [ 2227 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2222 ], + "BLUT": [ 2221 ], + "C0": [ 855 ], + "Z": [ 2228 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2224 ], + "BLUT": [ 2223 ], + "C0": [ 855 ], + "Z": [ 2229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2226 ], + "BLUT": [ 2225 ], + "C0": [ 855 ], + "Z": [ 2230 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2227 ], + "D1": [ 2228 ], + "SD": [ 1813 ], + "Z": [ 2231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2229 ], + "D1": [ 2230 ], + "SD": [ 1813 ], + "Z": [ 2232 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2231 ], + "D1": [ 2232 ], + "SD": [ 863 ], + "Z": [ 2214 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2233 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2233 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2233 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2233 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2237 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2233 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2238 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2233 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2239 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2233 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2240 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2233 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2241 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2235 ], + "BLUT": [ 2234 ], + "C0": [ 855 ], + "Z": [ 2242 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2237 ], + "BLUT": [ 2236 ], + "C0": [ 855 ], + "Z": [ 2243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2239 ], + "BLUT": [ 2238 ], + "C0": [ 855 ], + "Z": [ 2244 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2241 ], + "BLUT": [ 2240 ], + "C0": [ 855 ], + "Z": [ 2245 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2242 ], + "D1": [ 2243 ], + "SD": [ 2246 ], + "Z": [ 2247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2244 ], + "D1": [ 2245 ], + "SD": [ 2246 ], + "Z": [ 2248 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2247 ], + "D1": [ 2248 ], + "SD": [ 863 ], + "Z": [ 2215 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39539": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1824 ], + "B": [ 1534 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 2246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2249 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2250 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2249 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2251 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2249 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2252 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2249 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2253 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2249 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2254 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2249 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2255 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2249 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2256 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2249 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2257 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2251 ], + "BLUT": [ 2250 ], + "C0": [ 855 ], + "Z": [ 2258 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2253 ], + "BLUT": [ 2252 ], + "C0": [ 855 ], + "Z": [ 2259 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2255 ], + "BLUT": [ 2254 ], + "C0": [ 855 ], + "Z": [ 2260 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2257 ], + "BLUT": [ 2256 ], + "C0": [ 855 ], + "Z": [ 2261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2258 ], + "D1": [ 2259 ], + "SD": [ 881 ], + "Z": [ 2262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2260 ], + "D1": [ 2261 ], + "SD": [ 881 ], + "Z": [ 2263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2262 ], + "D1": [ 2263 ], + "SD": [ 863 ], + "Z": [ 2216 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2264 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2265 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2264 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2264 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2267 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2264 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2268 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2264 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2269 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2264 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2270 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2264 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2271 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2264 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2272 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2266 ], + "BLUT": [ 2265 ], + "C0": [ 855 ], + "Z": [ 2273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2268 ], + "BLUT": [ 2267 ], + "C0": [ 855 ], + "Z": [ 2274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2270 ], + "BLUT": [ 2269 ], + "C0": [ 855 ], + "Z": [ 2275 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2272 ], + "BLUT": [ 2271 ], + "C0": [ 855 ], + "Z": [ 2276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2273 ], + "D1": [ 2274 ], + "SD": [ 2277 ], + "Z": [ 2278 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2275 ], + "D1": [ 2276 ], + "SD": [ 2277 ], + "Z": [ 2279 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2278 ], + "D1": [ 2279 ], + "SD": [ 863 ], + "Z": [ 2217 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39542": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1890 ], + "B": [ 1628 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 2277 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2280 ], + "B": [ 2281 ], + "C": [ 1835 ], + "D": [ 867 ], + "Z": [ 2282 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2280 ], + "B": [ 2281 ], + "C": [ 1835 ], + "D": [ 867 ], + "Z": [ 2283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2280 ], + "B": [ 2281 ], + "C": [ 1835 ], + "D": [ 867 ], + "Z": [ 2284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2280 ], + "B": [ 2281 ], + "C": [ 1835 ], + "D": [ 867 ], + "Z": [ 2285 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2280 ], + "B": [ 2281 ], + "C": [ 1835 ], + "D": [ 867 ], + "Z": [ 2286 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2280 ], + "B": [ 2281 ], + "C": [ 1835 ], + "D": [ 867 ], + "Z": [ 2287 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2280 ], + "B": [ 2281 ], + "C": [ 1835 ], + "D": [ 867 ], + "Z": [ 2288 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2280 ], + "B": [ 2281 ], + "C": [ 1835 ], + "D": [ 867 ], + "Z": [ 2289 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2283 ], + "BLUT": [ 2282 ], + "C0": [ 2290 ], + "Z": [ 2291 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2285 ], + "BLUT": [ 2284 ], + "C0": [ 2290 ], + "Z": [ 2292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2287 ], + "BLUT": [ 2286 ], + "C0": [ 2290 ], + "Z": [ 2293 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2289 ], + "BLUT": [ 2288 ], + "C0": [ 2290 ], + "Z": [ 2294 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2291 ], + "D1": [ 2292 ], + "SD": [ 2295 ], + "Z": [ 2296 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2293 ], + "D1": [ 2294 ], + "SD": [ 2295 ], + "Z": [ 2297 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2296 ], + "D1": [ 2297 ], + "SD": [ 1962 ], + "Z": [ 2130 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2298 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2298 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2300 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2298 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2301 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2298 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2302 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2298 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2303 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2298 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2298 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2305 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2298 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2306 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2300 ], + "BLUT": [ 2299 ], + "C0": [ 855 ], + "Z": [ 2307 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2302 ], + "BLUT": [ 2301 ], + "C0": [ 855 ], + "Z": [ 2308 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2304 ], + "BLUT": [ 2303 ], + "C0": [ 855 ], + "Z": [ 2309 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2306 ], + "BLUT": [ 2305 ], + "C0": [ 855 ], + "Z": [ 2310 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2307 ], + "D1": [ 2308 ], + "SD": [ 1804 ], + "Z": [ 2311 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2309 ], + "D1": [ 2310 ], + "SD": [ 1804 ], + "Z": [ 2312 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2311 ], + "D1": [ 2312 ], + "SD": [ 863 ], + "Z": [ 2290 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2313 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2313 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2315 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2313 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2316 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2313 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2313 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2318 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2313 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2319 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2313 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2320 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2313 ], + "B": [ 844 ], + "C": [ 845 ], + "D": [ 846 ], + "Z": [ 2321 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2315 ], + "BLUT": [ 2314 ], + "C0": [ 855 ], + "Z": [ 2322 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2317 ], + "BLUT": [ 2316 ], + "C0": [ 855 ], + "Z": [ 2323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2319 ], + "BLUT": [ 2318 ], + "C0": [ 855 ], + "Z": [ 2324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2321 ], + "BLUT": [ 2320 ], + "C0": [ 855 ], + "Z": [ 2325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2322 ], + "D1": [ 2323 ], + "SD": [ 2326 ], + "Z": [ 2327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2324 ], + "D1": [ 2325 ], + "SD": [ 2326 ], + "Z": [ 2328 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2327 ], + "D1": [ 2328 ], + "SD": [ 863 ], + "Z": [ 2295 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39546": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1863 ], + "B": [ 1537 ], + "C": [ 891 ], + "D": [ "0" ], + "Z": [ 2326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39547.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2329 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2330 ], + "Z": [ 2331 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39547.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2329 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2330 ], + "Z": [ 2332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39547.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2332 ], + "BLUT": [ 2331 ], + "C0": [ 834 ], + "Z": [ 827 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39548": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2062 ], + "B": [ 1845 ], + "C": [ 1962 ], + "D": [ "0" ], + "Z": [ 2330 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39549.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2333 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2110 ], + "Z": [ 2334 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39549.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2333 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2110 ], + "Z": [ 2335 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39549.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2335 ], + "BLUT": [ 2334 ], + "C0": [ 834 ], + "Z": [ 822 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39550.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2336 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1999 ], + "Z": [ 2337 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39550.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2336 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1999 ], + "Z": [ 2338 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39550.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2338 ], + "BLUT": [ 2337 ], + "C0": [ 834 ], + "Z": [ 823 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39551.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2339 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2295 ], + "Z": [ 2340 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39551.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2339 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2295 ], + "Z": [ 2341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39551.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2341 ], + "BLUT": [ 2340 ], + "C0": [ 834 ], + "Z": [ 824 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2342 ], + "B": [ 2343 ], + "C": [ 2344 ], + "D": [ 2345 ], + "Z": [ 2346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2342 ], + "B": [ 2343 ], + "C": [ 2344 ], + "D": [ 2345 ], + "Z": [ 2347 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2342 ], + "B": [ 2343 ], + "C": [ 2344 ], + "D": [ 2345 ], + "Z": [ 2348 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2342 ], + "B": [ 2343 ], + "C": [ 2344 ], + "D": [ 2345 ], + "Z": [ 2349 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2342 ], + "B": [ 2343 ], + "C": [ 2344 ], + "D": [ 2345 ], + "Z": [ 2350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2342 ], + "B": [ 2343 ], + "C": [ 2344 ], + "D": [ 2345 ], + "Z": [ 2351 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2342 ], + "B": [ 2343 ], + "C": [ 2344 ], + "D": [ 2345 ], + "Z": [ 2352 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2342 ], + "B": [ 2343 ], + "C": [ 2344 ], + "D": [ 2345 ], + "Z": [ 2353 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2347 ], + "BLUT": [ 2346 ], + "C0": [ 2354 ], + "Z": [ 2355 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2349 ], + "BLUT": [ 2348 ], + "C0": [ 2354 ], + "Z": [ 2356 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2351 ], + "BLUT": [ 2350 ], + "C0": [ 2354 ], + "Z": [ 2357 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2353 ], + "BLUT": [ 2352 ], + "C0": [ 2354 ], + "Z": [ 2358 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2355 ], + "D1": [ 2356 ], + "SD": [ 2359 ], + "Z": [ 2360 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2357 ], + "D1": [ 2358 ], + "SD": [ 2359 ], + "Z": [ 2361 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2360 ], + "D1": [ 2361 ], + "SD": [ 2362 ], + "Z": [ 814 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39553.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2363 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2290 ], + "Z": [ 2364 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39553.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2363 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2290 ], + "Z": [ 2365 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39553.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2365 ], + "BLUT": [ 2364 ], + "C0": [ 834 ], + "Z": [ 2342 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39554.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2366 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2214 ], + "Z": [ 2367 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39554.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2366 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2214 ], + "Z": [ 2368 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39554.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2368 ], + "BLUT": [ 2367 ], + "C0": [ 834 ], + "Z": [ 2343 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39555.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2369 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2217 ], + "Z": [ 2370 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39555.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2369 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2217 ], + "Z": [ 2371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39555.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2371 ], + "BLUT": [ 2370 ], + "C0": [ 834 ], + "Z": [ 2354 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39556.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2372 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2164 ], + "Z": [ 2373 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39556.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2372 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2164 ], + "Z": [ 2374 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39556.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2374 ], + "BLUT": [ 2373 ], + "C0": [ 834 ], + "Z": [ 2362 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39557.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2375 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 841 ], + "Z": [ 2376 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39557.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2375 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 841 ], + "Z": [ 2377 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39557.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2377 ], + "BLUT": [ 2376 ], + "C0": [ 834 ], + "Z": [ 2344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39558.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2378 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 842 ], + "Z": [ 2379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39558.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2378 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 842 ], + "Z": [ 2380 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39558.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2380 ], + "BLUT": [ 2379 ], + "C0": [ 834 ], + "Z": [ 2359 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39559.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2381 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2072 ], + "Z": [ 2382 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39559.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2381 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2072 ], + "Z": [ 2383 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39559.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2383 ], + "BLUT": [ 2382 ], + "C0": [ 834 ], + "Z": [ 2345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2384 ], + "B": [ 2385 ], + "C": [ 2386 ], + "D": [ 2387 ], + "Z": [ 2388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2384 ], + "B": [ 2385 ], + "C": [ 2386 ], + "D": [ 2387 ], + "Z": [ 2389 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2384 ], + "B": [ 2385 ], + "C": [ 2386 ], + "D": [ 2387 ], + "Z": [ 2390 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2384 ], + "B": [ 2385 ], + "C": [ 2386 ], + "D": [ 2387 ], + "Z": [ 2391 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2389 ], + "BLUT": [ 2388 ], + "C0": [ 2392 ], + "Z": [ 2393 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2391 ], + "BLUT": [ 2390 ], + "C0": [ 2392 ], + "Z": [ 2394 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2393 ], + "D1": [ 2394 ], + "SD": [ 2395 ], + "Z": [ 815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 2397 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2398 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 2397 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2399 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 2397 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2400 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 2397 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2401 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 2397 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2402 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 2397 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2403 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 2397 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2404 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 2397 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2405 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2399 ], + "BLUT": [ 2398 ], + "C0": [ 2406 ], + "Z": [ 2407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2401 ], + "BLUT": [ 2400 ], + "C0": [ 2406 ], + "Z": [ 2408 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2403 ], + "BLUT": [ 2402 ], + "C0": [ 2406 ], + "Z": [ 2409 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2405 ], + "BLUT": [ 2404 ], + "C0": [ 2406 ], + "Z": [ 2410 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2407 ], + "D1": [ 2408 ], + "SD": [ 1997 ], + "Z": [ 2411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2409 ], + "D1": [ 2410 ], + "SD": [ 1997 ], + "Z": [ 2412 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2411 ], + "D1": [ 2412 ], + "SD": [ 834 ], + "Z": [ 2392 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39562": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2281 ], + "B": [ 867 ], + "C": [ 1962 ], + "D": [ "0" ], + "Z": [ 2406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 2414 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2415 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 2414 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2416 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 2414 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2417 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 2414 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2418 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 2414 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2419 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 2414 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2420 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 2414 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2421 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 2414 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2416 ], + "BLUT": [ 2415 ], + "C0": [ 1998 ], + "Z": [ 2423 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2418 ], + "BLUT": [ 2417 ], + "C0": [ 1998 ], + "Z": [ 2424 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2420 ], + "BLUT": [ 2419 ], + "C0": [ 1998 ], + "Z": [ 2425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2422 ], + "BLUT": [ 2421 ], + "C0": [ 1998 ], + "Z": [ 2426 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2423 ], + "D1": [ 2424 ], + "SD": [ 2077 ], + "Z": [ 2427 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2425 ], + "D1": [ 2426 ], + "SD": [ 2077 ], + "Z": [ 2428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2427 ], + "D1": [ 2428 ], + "SD": [ 834 ], + "Z": [ 2395 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39564.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2429 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 840 ], + "Z": [ 2430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39564.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2429 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 840 ], + "Z": [ 2431 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39564.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2431 ], + "BLUT": [ 2430 ], + "C0": [ 834 ], + "Z": [ 2384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39565.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2432 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2111 ], + "Z": [ 2433 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39565.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2432 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2111 ], + "Z": [ 2434 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39565.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2434 ], + "BLUT": [ 2433 ], + "C0": [ 834 ], + "Z": [ 2385 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39566.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2435 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2436 ], + "Z": [ 2437 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39566.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2435 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2436 ], + "Z": [ 2438 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39566.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2438 ], + "BLUT": [ 2437 ], + "C0": [ 834 ], + "Z": [ 2386 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39567": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2280 ], + "B": [ 1835 ], + "C": [ 1962 ], + "D": [ "0" ], + "Z": [ 2436 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39568.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2439 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2132 ], + "Z": [ 2440 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39568.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2439 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2132 ], + "Z": [ 2441 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39568.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2441 ], + "BLUT": [ 2440 ], + "C0": [ 834 ], + "Z": [ 2387 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2442 ], + "B": [ 2443 ], + "C": [ 2444 ], + "D": [ 2445 ], + "Z": [ 2446 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2442 ], + "B": [ 2443 ], + "C": [ 2444 ], + "D": [ 2445 ], + "Z": [ 2447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2442 ], + "B": [ 2443 ], + "C": [ 2444 ], + "D": [ 2445 ], + "Z": [ 2448 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2442 ], + "B": [ 2443 ], + "C": [ 2444 ], + "D": [ 2445 ], + "Z": [ 2449 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2442 ], + "B": [ 2443 ], + "C": [ 2444 ], + "D": [ 2445 ], + "Z": [ 2450 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2442 ], + "B": [ 2443 ], + "C": [ 2444 ], + "D": [ 2445 ], + "Z": [ 2451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2442 ], + "B": [ 2443 ], + "C": [ 2444 ], + "D": [ 2445 ], + "Z": [ 2452 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2442 ], + "B": [ 2443 ], + "C": [ 2444 ], + "D": [ 2445 ], + "Z": [ 2453 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2447 ], + "BLUT": [ 2446 ], + "C0": [ 2454 ], + "Z": [ 2455 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2449 ], + "BLUT": [ 2448 ], + "C0": [ 2454 ], + "Z": [ 2456 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2451 ], + "BLUT": [ 2450 ], + "C0": [ 2454 ], + "Z": [ 2457 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2453 ], + "BLUT": [ 2452 ], + "C0": [ 2454 ], + "Z": [ 2458 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2455 ], + "D1": [ 2456 ], + "SD": [ 2459 ], + "Z": [ 2460 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2457 ], + "D1": [ 2458 ], + "SD": [ 2459 ], + "Z": [ 2461 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2460 ], + "D1": [ 2461 ], + "SD": [ 2462 ], + "Z": [ 816 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39570.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2463 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2131 ], + "Z": [ 2464 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39570.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2463 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2131 ], + "Z": [ 2465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39570.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2465 ], + "BLUT": [ 2464 ], + "C0": [ 834 ], + "Z": [ 2442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 2467 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2468 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 2467 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2469 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 2467 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2470 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 2467 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2471 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 2467 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2472 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 2467 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2473 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 2467 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2474 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 2467 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2475 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2469 ], + "BLUT": [ 2468 ], + "C0": [ 2476 ], + "Z": [ 2477 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2471 ], + "BLUT": [ 2470 ], + "C0": [ 2476 ], + "Z": [ 2478 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2473 ], + "BLUT": [ 2472 ], + "C0": [ 2476 ], + "Z": [ 2479 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2475 ], + "BLUT": [ 2474 ], + "C0": [ 2476 ], + "Z": [ 2480 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2477 ], + "D1": [ 2478 ], + "SD": [ 2198 ], + "Z": [ 2481 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2479 ], + "D1": [ 2480 ], + "SD": [ 2198 ], + "Z": [ 2482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2481 ], + "D1": [ 2482 ], + "SD": [ 834 ], + "Z": [ 2444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39572": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2063 ], + "B": [ 1867 ], + "C": [ 1962 ], + "D": [ "0" ], + "Z": [ 2476 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39573.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2483 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1996 ], + "Z": [ 2484 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39573.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2483 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1996 ], + "Z": [ 2485 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39573.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2485 ], + "BLUT": [ 2484 ], + "C0": [ 834 ], + "Z": [ 2443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 2487 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2488 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 2487 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 2487 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2490 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 2487 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2491 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 2487 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2492 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 2487 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 2487 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2494 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 2487 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2495 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2489 ], + "BLUT": [ 2488 ], + "C0": [ 2496 ], + "Z": [ 2497 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2491 ], + "BLUT": [ 2490 ], + "C0": [ 2496 ], + "Z": [ 2498 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2493 ], + "BLUT": [ 2492 ], + "C0": [ 2496 ], + "Z": [ 2499 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2495 ], + "BLUT": [ 2494 ], + "C0": [ 2496 ], + "Z": [ 2500 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2497 ], + "D1": [ 2498 ], + "SD": [ 2163 ], + "Z": [ 2501 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2499 ], + "D1": [ 2500 ], + "SD": [ 2163 ], + "Z": [ 2502 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2501 ], + "D1": [ 2502 ], + "SD": [ 834 ], + "Z": [ 2445 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39575": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1945 ], + "B": [ 1801 ], + "C": [ 1962 ], + "D": [ "0" ], + "Z": [ 2496 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 2504 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2505 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 2504 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 2504 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2507 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 2504 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2508 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 2504 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2509 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 2504 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2510 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 2504 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2511 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 2504 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2512 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2506 ], + "BLUT": [ 2505 ], + "C0": [ 1959 ], + "Z": [ 2513 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2508 ], + "BLUT": [ 2507 ], + "C0": [ 1959 ], + "Z": [ 2514 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2510 ], + "BLUT": [ 2509 ], + "C0": [ 1959 ], + "Z": [ 2515 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2512 ], + "BLUT": [ 2511 ], + "C0": [ 1959 ], + "Z": [ 2516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2513 ], + "D1": [ 2514 ], + "SD": [ 2215 ], + "Z": [ 2517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2515 ], + "D1": [ 2516 ], + "SD": [ 2215 ], + "Z": [ 2518 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2517 ], + "D1": [ 2518 ], + "SD": [ 834 ], + "Z": [ 2454 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 2520 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2521 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 2520 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 2520 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2523 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 2520 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2524 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 2520 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 2520 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2526 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 2520 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2527 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 2520 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2528 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2522 ], + "BLUT": [ 2521 ], + "C0": [ 2529 ], + "Z": [ 2530 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2524 ], + "BLUT": [ 2523 ], + "C0": [ 2529 ], + "Z": [ 2531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2526 ], + "BLUT": [ 2525 ], + "C0": [ 2529 ], + "Z": [ 2532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2528 ], + "BLUT": [ 2527 ], + "C0": [ 2529 ], + "Z": [ 2533 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2530 ], + "D1": [ 2531 ], + "SD": [ 2534 ], + "Z": [ 2535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2532 ], + "D1": [ 2533 ], + "SD": [ 2534 ], + "Z": [ 2536 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2535 ], + "D1": [ 2536 ], + "SD": [ 834 ], + "Z": [ 2459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39578": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1946 ], + "B": [ 865 ], + "C": [ 1962 ], + "D": [ "0" ], + "Z": [ 2529 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39579": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2197 ], + "B": [ 1850 ], + "C": [ 1962 ], + "D": [ "0" ], + "Z": [ 2534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 2538 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2539 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 2538 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 2538 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2541 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 2538 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2542 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 2538 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2543 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 2538 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2544 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 2538 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 2538 ], + "C": [ 829 ], + "D": [ 830 ], + "Z": [ 2546 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2540 ], + "BLUT": [ 2539 ], + "C0": [ 839 ], + "Z": [ 2547 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2542 ], + "BLUT": [ 2541 ], + "C0": [ 839 ], + "Z": [ 2548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2544 ], + "BLUT": [ 2543 ], + "C0": [ 839 ], + "Z": [ 2549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2546 ], + "BLUT": [ 2545 ], + "C0": [ 839 ], + "Z": [ 2550 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2547 ], + "D1": [ 2548 ], + "SD": [ 2216 ], + "Z": [ 2551 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2549 ], + "D1": [ 2550 ], + "SD": [ 2216 ], + "Z": [ 2552 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2551 ], + "D1": [ 2552 ], + "SD": [ 834 ], + "Z": [ 2462 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2553 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2554 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2553 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2555 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2553 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2556 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2553 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2557 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2553 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2558 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2553 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2559 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2553 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2560 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2553 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2555 ], + "BLUT": [ 2554 ], + "C0": [ 816 ], + "Z": [ 2562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2557 ], + "BLUT": [ 2556 ], + "C0": [ 816 ], + "Z": [ 2563 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2559 ], + "BLUT": [ 2558 ], + "C0": [ 816 ], + "Z": [ 2564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2561 ], + "BLUT": [ 2560 ], + "C0": [ 816 ], + "Z": [ 2565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2562 ], + "D1": [ 2563 ], + "SD": [ 2566 ], + "Z": [ 2567 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2564 ], + "D1": [ 2565 ], + "SD": [ 2566 ], + "Z": [ 2568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2567 ], + "D1": [ 2568 ], + "SD": [ 820 ], + "Z": [ 769 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39582.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2414 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2077 ], + "Z": [ 2569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39582.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2414 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2077 ], + "Z": [ 2570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39582.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2570 ], + "BLUT": [ 2569 ], + "C0": [ 834 ], + "Z": [ 2566 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2571 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2572 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2571 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2573 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2571 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2574 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2571 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2575 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2571 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2571 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2577 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2571 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2578 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2571 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2579 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2573 ], + "BLUT": [ 2572 ], + "C0": [ 816 ], + "Z": [ 2580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2575 ], + "BLUT": [ 2574 ], + "C0": [ 816 ], + "Z": [ 2581 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2577 ], + "BLUT": [ 2576 ], + "C0": [ 816 ], + "Z": [ 2582 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2579 ], + "BLUT": [ 2578 ], + "C0": [ 816 ], + "Z": [ 2583 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2580 ], + "D1": [ 2581 ], + "SD": [ 2442 ], + "Z": [ 2584 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2582 ], + "D1": [ 2583 ], + "SD": [ 2442 ], + "Z": [ 2585 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2584 ], + "D1": [ 2585 ], + "SD": [ 820 ], + "Z": [ 810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39584.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2397 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1997 ], + "Z": [ 2586 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39584.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2397 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1997 ], + "Z": [ 2587 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39584.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2587 ], + "BLUT": [ 2586 ], + "C0": [ 834 ], + "Z": [ 796 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39585.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1998 ], + "Z": [ 2588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39585.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2413 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1998 ], + "Z": [ 2589 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39585.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2589 ], + "BLUT": [ 2588 ], + "C0": [ 834 ], + "Z": [ 797 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39586.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2590 ], + "B": [ 2591 ], + "C": [ 2592 ], + "D": [ 2354 ], + "Z": [ 2593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39586.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2590 ], + "B": [ 2591 ], + "C": [ 2592 ], + "D": [ 2354 ], + "Z": [ 2594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39586.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2594 ], + "BLUT": [ 2593 ], + "C0": [ 813 ], + "Z": [ 774 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39587.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1959 ], + "Z": [ 2595 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39587.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2503 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 1959 ], + "Z": [ 2596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39587.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2596 ], + "BLUT": [ 2595 ], + "C0": [ 834 ], + "Z": [ 2592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39588.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2597 ], + "B": [ 2598 ], + "C": [ 822 ], + "D": [ 2385 ], + "Z": [ 2599 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39588.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2597 ], + "B": [ 2598 ], + "C": [ 822 ], + "D": [ 2385 ], + "Z": [ 2600 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39588.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2600 ], + "BLUT": [ 2599 ], + "C0": [ 813 ], + "Z": [ 775 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39589.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2601 ], + "B": [ 2602 ], + "C": [ 2443 ], + "D": [ 2345 ], + "Z": [ 2603 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39589.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2601 ], + "B": [ 2602 ], + "C": [ 2443 ], + "D": [ 2345 ], + "Z": [ 2604 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39589.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2604 ], + "BLUT": [ 2603 ], + "C0": [ 813 ], + "Z": [ 776 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39590.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2605 ], + "B": [ 2606 ], + "C": [ 2607 ], + "D": [ 2359 ], + "Z": [ 2608 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39590.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2605 ], + "B": [ 2606 ], + "C": [ 2607 ], + "D": [ 2359 ], + "Z": [ 2609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39590.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2609 ], + "BLUT": [ 2608 ], + "C0": [ 813 ], + "Z": [ 785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39591.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2163 ], + "Z": [ 2610 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39591.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2486 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2163 ], + "Z": [ 2611 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39591.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2611 ], + "BLUT": [ 2610 ], + "C0": [ 834 ], + "Z": [ 2607 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39592.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2612 ], + "B": [ 2613 ], + "C": [ 2614 ], + "D": [ 2615 ], + "Z": [ 2616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39592.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2612 ], + "B": [ 2613 ], + "C": [ 2614 ], + "D": [ 2615 ], + "Z": [ 2617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39592.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2617 ], + "BLUT": [ 2616 ], + "C0": [ 813 ], + "Z": [ 790 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39593.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2216 ], + "Z": [ 2618 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39593.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2537 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2216 ], + "Z": [ 2619 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39593.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2619 ], + "BLUT": [ 2618 ], + "C0": [ 834 ], + "Z": [ 2614 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39594.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2487 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2496 ], + "Z": [ 2620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39594.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2487 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2496 ], + "Z": [ 2621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39594.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2621 ], + "BLUT": [ 2620 ], + "C0": [ 834 ], + "Z": [ 2615 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39595.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2622 ], + "B": [ 2623 ], + "C": [ 2624 ], + "D": [ 2625 ], + "Z": [ 2626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39595.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2622 ], + "B": [ 2623 ], + "C": [ 2624 ], + "D": [ 2625 ], + "Z": [ 2627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39595.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2627 ], + "BLUT": [ 2626 ], + "C0": [ 813 ], + "Z": [ 793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39596.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2538 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 839 ], + "Z": [ 2628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39596.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2538 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 839 ], + "Z": [ 2629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39596.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2629 ], + "BLUT": [ 2628 ], + "C0": [ 834 ], + "Z": [ 2624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39597.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2520 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2534 ], + "Z": [ 2630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39597.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2520 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2534 ], + "Z": [ 2631 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39597.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2631 ], + "BLUT": [ 2630 ], + "C0": [ 834 ], + "Z": [ 2625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2632 ], + "B": [ 2633 ], + "C": [ 2634 ], + "D": [ 2635 ], + "Z": [ 2636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2632 ], + "B": [ 2633 ], + "C": [ 2634 ], + "D": [ 2635 ], + "Z": [ 2637 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2632 ], + "B": [ 2633 ], + "C": [ 2634 ], + "D": [ 2635 ], + "Z": [ 2638 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2632 ], + "B": [ 2633 ], + "C": [ 2634 ], + "D": [ 2635 ], + "Z": [ 2639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2632 ], + "B": [ 2633 ], + "C": [ 2634 ], + "D": [ 2635 ], + "Z": [ 2640 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2632 ], + "B": [ 2633 ], + "C": [ 2634 ], + "D": [ 2635 ], + "Z": [ 2641 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2632 ], + "B": [ 2633 ], + "C": [ 2634 ], + "D": [ 2635 ], + "Z": [ 2642 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2632 ], + "B": [ 2633 ], + "C": [ 2634 ], + "D": [ 2635 ], + "Z": [ 2643 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2637 ], + "BLUT": [ 2636 ], + "C0": [ 2644 ], + "Z": [ 2645 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2639 ], + "BLUT": [ 2638 ], + "C0": [ 2644 ], + "Z": [ 2646 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2641 ], + "BLUT": [ 2640 ], + "C0": [ 2644 ], + "Z": [ 2647 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2643 ], + "BLUT": [ 2642 ], + "C0": [ 2644 ], + "Z": [ 2648 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2645 ], + "D1": [ 2646 ], + "SD": [ 2649 ], + "Z": [ 2650 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2647 ], + "D1": [ 2648 ], + "SD": [ 2649 ], + "Z": [ 2651 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2650 ], + "D1": [ 2651 ], + "SD": [ 2652 ], + "Z": [ 768 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2653 ], + "B": [ 2654 ], + "C": [ 2655 ], + "D": [ 824 ], + "Z": [ 2656 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2653 ], + "B": [ 2654 ], + "C": [ 2655 ], + "D": [ 824 ], + "Z": [ 2657 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2653 ], + "B": [ 2654 ], + "C": [ 2655 ], + "D": [ 824 ], + "Z": [ 2658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2653 ], + "B": [ 2654 ], + "C": [ 2655 ], + "D": [ 824 ], + "Z": [ 2659 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2653 ], + "B": [ 2654 ], + "C": [ 2655 ], + "D": [ 824 ], + "Z": [ 2660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2653 ], + "B": [ 2654 ], + "C": [ 2655 ], + "D": [ 824 ], + "Z": [ 2661 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2653 ], + "B": [ 2654 ], + "C": [ 2655 ], + "D": [ 824 ], + "Z": [ 2662 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2653 ], + "B": [ 2654 ], + "C": [ 2655 ], + "D": [ 824 ], + "Z": [ 2663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2657 ], + "BLUT": [ 2656 ], + "C0": [ 2664 ], + "Z": [ 2665 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2659 ], + "BLUT": [ 2658 ], + "C0": [ 2664 ], + "Z": [ 2666 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2661 ], + "BLUT": [ 2660 ], + "C0": [ 2664 ], + "Z": [ 2667 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2663 ], + "BLUT": [ 2662 ], + "C0": [ 2664 ], + "Z": [ 2668 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2665 ], + "D1": [ 2666 ], + "SD": [ 813 ], + "Z": [ 2669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2667 ], + "D1": [ 2668 ], + "SD": [ 813 ], + "Z": [ 2670 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2669 ], + "D1": [ 2670 ], + "SD": [ 2671 ], + "Z": [ 2632 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2672 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2672 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2672 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2672 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2676 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2672 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2677 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2672 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2672 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2679 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2672 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2680 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2674 ], + "BLUT": [ 2673 ], + "C0": [ 816 ], + "Z": [ 2681 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2676 ], + "BLUT": [ 2675 ], + "C0": [ 816 ], + "Z": [ 2682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2678 ], + "BLUT": [ 2677 ], + "C0": [ 816 ], + "Z": [ 2683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2680 ], + "BLUT": [ 2679 ], + "C0": [ 816 ], + "Z": [ 2684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2681 ], + "D1": [ 2682 ], + "SD": [ 2685 ], + "Z": [ 2686 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2683 ], + "D1": [ 2684 ], + "SD": [ 2685 ], + "Z": [ 2687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2686 ], + "D1": [ 2687 ], + "SD": [ 820 ], + "Z": [ 2664 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39601.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2476 ], + "Z": [ 2688 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39601.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2466 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2476 ], + "Z": [ 2689 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39601.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2689 ], + "BLUT": [ 2688 ], + "C0": [ 834 ], + "Z": [ 2685 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2690 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2691 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2690 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2690 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2693 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2690 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2694 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2690 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2695 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2690 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2696 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2690 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2697 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2690 ], + "B": [ 817 ], + "C": [ 814 ], + "D": [ 815 ], + "Z": [ 2698 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2692 ], + "BLUT": [ 2691 ], + "C0": [ 816 ], + "Z": [ 2699 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2694 ], + "BLUT": [ 2693 ], + "C0": [ 816 ], + "Z": [ 2700 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2696 ], + "BLUT": [ 2695 ], + "C0": [ 816 ], + "Z": [ 2701 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2698 ], + "BLUT": [ 2697 ], + "C0": [ 816 ], + "Z": [ 2702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2699 ], + "D1": [ 2700 ], + "SD": [ 827 ], + "Z": [ 2703 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2701 ], + "D1": [ 2702 ], + "SD": [ 827 ], + "Z": [ 2704 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2703 ], + "D1": [ 2704 ], + "SD": [ 820 ], + "Z": [ 2671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39603.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2467 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2198 ], + "Z": [ 2705 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39603.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2467 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2198 ], + "Z": [ 2706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39603.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2706 ], + "BLUT": [ 2705 ], + "C0": [ 834 ], + "Z": [ 2655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39604.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2707 ], + "B": [ 2708 ], + "C": [ 2384 ], + "D": [ 2386 ], + "Z": [ 2709 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39604.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2707 ], + "B": [ 2708 ], + "C": [ 2384 ], + "D": [ 2386 ], + "Z": [ 2710 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39604.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2710 ], + "BLUT": [ 2709 ], + "C0": [ 813 ], + "Z": [ 2633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39605.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2711 ], + "B": [ 2712 ], + "C": [ 2713 ], + "D": [ 823 ], + "Z": [ 2714 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39605.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2711 ], + "B": [ 2712 ], + "C": [ 2713 ], + "D": [ 823 ], + "Z": [ 2715 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39605.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2715 ], + "BLUT": [ 2714 ], + "C0": [ 813 ], + "Z": [ 2634 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39606.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2406 ], + "Z": [ 2716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39606.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2396 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2406 ], + "Z": [ 2717 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39606.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2717 ], + "BLUT": [ 2716 ], + "C0": [ 834 ], + "Z": [ 2713 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39607.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2718 ], + "B": [ 2719 ], + "C": [ 2720 ], + "D": [ 2344 ], + "Z": [ 2721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39607.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2718 ], + "B": [ 2719 ], + "C": [ 2720 ], + "D": [ 2344 ], + "Z": [ 2722 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39607.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2722 ], + "BLUT": [ 2721 ], + "C0": [ 813 ], + "Z": [ 2635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39608.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2504 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2215 ], + "Z": [ 2723 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39608.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2504 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2215 ], + "Z": [ 2724 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39608.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2724 ], + "BLUT": [ 2723 ], + "C0": [ 834 ], + "Z": [ 2720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39609.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2725 ], + "B": [ 2726 ], + "C": [ 2387 ], + "D": [ 2362 ], + "Z": [ 2727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39609.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2725 ], + "B": [ 2726 ], + "C": [ 2387 ], + "D": [ 2362 ], + "Z": [ 2728 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39609.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2728 ], + "BLUT": [ 2727 ], + "C0": [ 813 ], + "Z": [ 2644 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39610.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2729 ], + "B": [ 2730 ], + "C": [ 2731 ], + "D": [ 2342 ], + "Z": [ 2732 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39610.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2729 ], + "B": [ 2730 ], + "C": [ 2731 ], + "D": [ 2342 ], + "Z": [ 2733 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39610.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2733 ], + "BLUT": [ 2732 ], + "C0": [ 813 ], + "Z": [ 2649 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39611.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2529 ], + "Z": [ 2734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39611.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2519 ], + "B": [ 829 ], + "C": [ 830 ], + "D": [ 2529 ], + "Z": [ 2735 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39611.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2735 ], + "BLUT": [ 2734 ], + "C0": [ 834 ], + "Z": [ 2731 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39612.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2736 ], + "B": [ 2737 ], + "C": [ 821 ], + "D": [ 2343 ], + "Z": [ 2738 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39612.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2736 ], + "B": [ 2737 ], + "C": [ 821 ], + "D": [ 2343 ], + "Z": [ 2739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39612.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2739 ], + "BLUT": [ 2738 ], + "C0": [ 813 ], + "Z": [ 2652 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39613.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2740 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2741 ], + "Z": [ 2742 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39613.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2740 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2741 ], + "Z": [ 2743 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39613.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2743 ], + "BLUT": [ 2742 ], + "C0": [ 772 ], + "Z": [ 746 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39614": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2654 ], + "B": [ 824 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2741 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39615.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2744 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2745 ], + "Z": [ 2746 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39615.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2744 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2745 ], + "Z": [ 2747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39615.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2747 ], + "BLUT": [ 2746 ], + "C0": [ 772 ], + "Z": [ 747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39616": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2711 ], + "B": [ 2713 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39617.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2748 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2749 ], + "Z": [ 2750 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39617.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2748 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2749 ], + "Z": [ 2751 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39617.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2751 ], + "BLUT": [ 2750 ], + "C0": [ 772 ], + "Z": [ 762 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39618": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 795 ], + "B": [ 797 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2749 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39619.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2752 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2753 ], + "Z": [ 2754 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39619.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2752 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2753 ], + "Z": [ 2755 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39619.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2755 ], + "BLUT": [ 2754 ], + "C0": [ 772 ], + "Z": [ 748 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39620": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2602 ], + "B": [ 2345 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2753 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39621.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2756 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2757 ], + "Z": [ 2758 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39621.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2756 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2757 ], + "Z": [ 2759 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39621.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2759 ], + "BLUT": [ 2758 ], + "C0": [ 772 ], + "Z": [ 757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39622": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2718 ], + "B": [ 2344 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2761 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2762 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2761 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2761 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2761 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2761 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2766 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2761 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2767 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2761 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2768 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2761 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2769 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2763 ], + "BLUT": [ 2762 ], + "C0": [ 2770 ], + "Z": [ 2771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2765 ], + "BLUT": [ 2764 ], + "C0": [ 2770 ], + "Z": [ 2772 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2767 ], + "BLUT": [ 2766 ], + "C0": [ 2770 ], + "Z": [ 2773 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2769 ], + "BLUT": [ 2768 ], + "C0": [ 2770 ], + "Z": [ 2774 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2771 ], + "D1": [ 2772 ], + "SD": [ 810 ], + "Z": [ 2775 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2773 ], + "D1": [ 2774 ], + "SD": [ 810 ], + "Z": [ 2776 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2775 ], + "D1": [ 2776 ], + "SD": [ 772 ], + "Z": [ 765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39624": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2726 ], + "B": [ 2362 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2770 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2777 ], + "B": [ 2778 ], + "C": [ 2779 ], + "D": [ 2780 ], + "Z": [ 2781 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2777 ], + "B": [ 2778 ], + "C": [ 2779 ], + "D": [ 2780 ], + "Z": [ 2782 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2777 ], + "B": [ 2778 ], + "C": [ 2779 ], + "D": [ 2780 ], + "Z": [ 2783 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2777 ], + "B": [ 2778 ], + "C": [ 2779 ], + "D": [ 2780 ], + "Z": [ 2784 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2777 ], + "B": [ 2778 ], + "C": [ 2779 ], + "D": [ 2780 ], + "Z": [ 2785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2777 ], + "B": [ 2778 ], + "C": [ 2779 ], + "D": [ 2780 ], + "Z": [ 2786 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2777 ], + "B": [ 2778 ], + "C": [ 2779 ], + "D": [ 2780 ], + "Z": [ 2787 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2777 ], + "B": [ 2778 ], + "C": [ 2779 ], + "D": [ 2780 ], + "Z": [ 2788 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2782 ], + "BLUT": [ 2781 ], + "C0": [ 2789 ], + "Z": [ 2790 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2784 ], + "BLUT": [ 2783 ], + "C0": [ 2789 ], + "Z": [ 2791 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2786 ], + "BLUT": [ 2785 ], + "C0": [ 2789 ], + "Z": [ 2792 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2788 ], + "BLUT": [ 2787 ], + "C0": [ 2789 ], + "Z": [ 2793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2790 ], + "D1": [ 2791 ], + "SD": [ 2794 ], + "Z": [ 2795 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2792 ], + "D1": [ 2793 ], + "SD": [ 2794 ], + "Z": [ 2796 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2795 ], + "D1": [ 2796 ], + "SD": [ 2797 ], + "Z": [ 726 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39626.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2798 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2799 ], + "Z": [ 2800 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39626.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2798 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2799 ], + "Z": [ 2801 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39626.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2801 ], + "BLUT": [ 2800 ], + "C0": [ 772 ], + "Z": [ 2777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39627": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2605 ], + "B": [ 2607 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2799 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39628.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2802 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2803 ], + "Z": [ 2804 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39628.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2802 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2803 ], + "Z": [ 2805 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39628.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2805 ], + "BLUT": [ 2804 ], + "C0": [ 772 ], + "Z": [ 2778 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39629": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2590 ], + "B": [ 2592 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2803 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 21845 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2806 ], + "B": [ 2807 ], + "C": [ 2808 ], + "D": [ 767 ], + "Z": [ 2809 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 853 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2806 ], + "B": [ 2807 ], + "C": [ 2808 ], + "D": [ 767 ], + "Z": [ 2810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 771 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2806 ], + "B": [ 2807 ], + "C": [ 2808 ], + "D": [ 767 ], + "Z": [ 2811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 771 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2806 ], + "B": [ 2807 ], + "C": [ 2808 ], + "D": [ 767 ], + "Z": [ 2812 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2806 ], + "B": [ 2807 ], + "C": [ 2808 ], + "D": [ 767 ], + "Z": [ 2813 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2806 ], + "B": [ 2807 ], + "C": [ 2808 ], + "D": [ 767 ], + "Z": [ 2814 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2806 ], + "B": [ 2807 ], + "C": [ 2808 ], + "D": [ 767 ], + "Z": [ 2815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2806 ], + "B": [ 2807 ], + "C": [ 2808 ], + "D": [ 767 ], + "Z": [ 2816 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2810 ], + "BLUT": [ 2809 ], + "C0": [ 768 ], + "Z": [ 2817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2812 ], + "BLUT": [ 2811 ], + "C0": [ 768 ], + "Z": [ 2818 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2814 ], + "BLUT": [ 2813 ], + "C0": [ 768 ], + "Z": [ 2819 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2816 ], + "BLUT": [ 2815 ], + "C0": [ 768 ], + "Z": [ 2820 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2817 ], + "D1": [ 2818 ], + "SD": [ 772 ], + "Z": [ 2821 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2819 ], + "D1": [ 2820 ], + "SD": [ 772 ], + "Z": [ 2822 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2821 ], + "D1": [ 2822 ], + "SD": [ 2823 ], + "Z": [ 2797 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39631": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 772 ], + "B": [ 2824 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 2823 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39632": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2737 ], + "B": [ 821 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2824 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39633": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2719 ], + "B": [ 2720 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2806 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39634.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2825 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2826 ], + "Z": [ 2827 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39634.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2825 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2826 ], + "Z": [ 2828 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39634.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2828 ], + "BLUT": [ 2827 ], + "C0": [ 772 ], + "Z": [ 2779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39635": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2729 ], + "B": [ 2731 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2826 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39636.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2829 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2830 ], + "Z": [ 2831 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39636.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2829 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2830 ], + "Z": [ 2832 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39636.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2832 ], + "BLUT": [ 2831 ], + "C0": [ 772 ], + "Z": [ 2780 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39637": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2623 ], + "B": [ 2624 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2830 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39638.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2833 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2834 ], + "Z": [ 2835 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39638.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2833 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2834 ], + "Z": [ 2836 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39638.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2836 ], + "BLUT": [ 2835 ], + "C0": [ 772 ], + "Z": [ 2789 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39639": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2601 ], + "B": [ 2443 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2834 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39640.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2837 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2838 ], + "Z": [ 2839 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39640.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2837 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2838 ], + "Z": [ 2840 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39640.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2840 ], + "BLUT": [ 2839 ], + "C0": [ 772 ], + "Z": [ 2794 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39641": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2613 ], + "B": [ 2614 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2838 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39642": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2842 ], + "C": [ 2843 ], + "D": [ "0" ], + "Z": [ 741 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39643": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 768 ], + "B": [ 767 ], + "C": [ 772 ], + "D": [ "0" ], + "Z": [ 2843 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39644": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2712 ], + "B": [ 823 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2842 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2844 ], + "B": [ 2845 ], + "C": [ 2846 ], + "D": [ 2847 ], + "Z": [ 2848 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2844 ], + "B": [ 2845 ], + "C": [ 2846 ], + "D": [ 2847 ], + "Z": [ 2849 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2844 ], + "B": [ 2845 ], + "C": [ 2846 ], + "D": [ 2847 ], + "Z": [ 2850 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2844 ], + "B": [ 2845 ], + "C": [ 2846 ], + "D": [ 2847 ], + "Z": [ 2851 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2844 ], + "B": [ 2845 ], + "C": [ 2846 ], + "D": [ 2847 ], + "Z": [ 2852 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2844 ], + "B": [ 2845 ], + "C": [ 2846 ], + "D": [ 2847 ], + "Z": [ 2853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2844 ], + "B": [ 2845 ], + "C": [ 2846 ], + "D": [ 2847 ], + "Z": [ 2854 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2844 ], + "B": [ 2845 ], + "C": [ 2846 ], + "D": [ 2847 ], + "Z": [ 2855 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2849 ], + "BLUT": [ 2848 ], + "C0": [ 2856 ], + "Z": [ 2857 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2851 ], + "BLUT": [ 2850 ], + "C0": [ 2856 ], + "Z": [ 2858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2853 ], + "BLUT": [ 2852 ], + "C0": [ 2856 ], + "Z": [ 2859 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2855 ], + "BLUT": [ 2854 ], + "C0": [ 2856 ], + "Z": [ 2860 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2857 ], + "D1": [ 2858 ], + "SD": [ 2861 ], + "Z": [ 2862 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2859 ], + "D1": [ 2860 ], + "SD": [ 2861 ], + "Z": [ 2863 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2862 ], + "D1": [ 2863 ], + "SD": [ 2864 ], + "Z": [ 727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39646.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2865 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2866 ], + "Z": [ 2867 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39646.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2865 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2866 ], + "Z": [ 2868 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39646.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2868 ], + "BLUT": [ 2867 ], + "C0": [ 772 ], + "Z": [ 2844 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39647": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2653 ], + "B": [ 2655 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2866 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39648.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2869 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2870 ], + "Z": [ 2871 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39648.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2869 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2870 ], + "Z": [ 2872 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39648.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2872 ], + "BLUT": [ 2871 ], + "C0": [ 772 ], + "Z": [ 2845 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39649": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2598 ], + "B": [ 822 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39650.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2873 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2664 ], + "Z": [ 2874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39650.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2873 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2664 ], + "Z": [ 2875 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39650.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2875 ], + "BLUT": [ 2874 ], + "C0": [ 772 ], + "Z": [ 2846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39651.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2876 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2877 ], + "Z": [ 2878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39651.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2876 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2877 ], + "Z": [ 2879 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39651.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2879 ], + "BLUT": [ 2878 ], + "C0": [ 772 ], + "Z": [ 2847 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39652": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2612 ], + "B": [ 2615 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39653.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2880 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2881 ], + "Z": [ 2882 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39653.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2880 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2881 ], + "Z": [ 2883 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39653.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2883 ], + "BLUT": [ 2882 ], + "C0": [ 772 ], + "Z": [ 2856 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39654": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2622 ], + "B": [ 2625 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2881 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39655.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2884 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2671 ], + "Z": [ 2885 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39655.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2884 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2671 ], + "Z": [ 2886 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39655.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2886 ], + "BLUT": [ 2885 ], + "C0": [ 772 ], + "Z": [ 2861 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2887 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2888 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2887 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2889 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 20479 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2887 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2890 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2887 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2891 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2887 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2887 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2887 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2894 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2887 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2895 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2889 ], + "BLUT": [ 2888 ], + "C0": [ 2842 ], + "Z": [ 2896 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2891 ], + "BLUT": [ 2890 ], + "C0": [ 2842 ], + "Z": [ 2897 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2893 ], + "BLUT": [ 2892 ], + "C0": [ 2842 ], + "Z": [ 2898 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2895 ], + "BLUT": [ 2894 ], + "C0": [ 2842 ], + "Z": [ 2899 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2896 ], + "D1": [ 2897 ], + "SD": [ 2900 ], + "Z": [ 2901 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2898 ], + "D1": [ 2899 ], + "SD": [ 2900 ], + "Z": [ 2902 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2901 ], + "D1": [ 2902 ], + "SD": [ 772 ], + "Z": [ 2864 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39657": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 794 ], + "B": [ 796 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2900 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2903 ], + "B": [ 2904 ], + "C": [ 2905 ], + "D": [ 2906 ], + "Z": [ 2907 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2903 ], + "B": [ 2904 ], + "C": [ 2905 ], + "D": [ 2906 ], + "Z": [ 2908 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2903 ], + "B": [ 2904 ], + "C": [ 2905 ], + "D": [ 2906 ], + "Z": [ 2909 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2903 ], + "B": [ 2904 ], + "C": [ 2905 ], + "D": [ 2906 ], + "Z": [ 2910 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2903 ], + "B": [ 2904 ], + "C": [ 2905 ], + "D": [ 2906 ], + "Z": [ 2911 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2903 ], + "B": [ 2904 ], + "C": [ 2905 ], + "D": [ 2906 ], + "Z": [ 2912 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2903 ], + "B": [ 2904 ], + "C": [ 2905 ], + "D": [ 2906 ], + "Z": [ 2913 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2903 ], + "B": [ 2904 ], + "C": [ 2905 ], + "D": [ 2906 ], + "Z": [ 2914 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2908 ], + "BLUT": [ 2907 ], + "C0": [ 2915 ], + "Z": [ 2916 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2910 ], + "BLUT": [ 2909 ], + "C0": [ 2915 ], + "Z": [ 2917 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2912 ], + "BLUT": [ 2911 ], + "C0": [ 2915 ], + "Z": [ 2918 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2914 ], + "BLUT": [ 2913 ], + "C0": [ 2915 ], + "Z": [ 2919 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2916 ], + "D1": [ 2917 ], + "SD": [ 2920 ], + "Z": [ 2921 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2918 ], + "D1": [ 2919 ], + "SD": [ 2920 ], + "Z": [ 2922 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2921 ], + "D1": [ 2922 ], + "SD": [ 2923 ], + "Z": [ 736 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2925 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2926 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2925 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2927 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2925 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2928 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2925 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2929 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2925 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2930 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2925 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2931 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2925 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2932 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2925 ], + "C": [ 767 ], + "D": [ 768 ], + "Z": [ 2933 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2927 ], + "BLUT": [ 2926 ], + "C0": [ 2934 ], + "Z": [ 2935 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2929 ], + "BLUT": [ 2928 ], + "C0": [ 2934 ], + "Z": [ 2936 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2931 ], + "BLUT": [ 2930 ], + "C0": [ 2934 ], + "Z": [ 2937 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2933 ], + "BLUT": [ 2932 ], + "C0": [ 2934 ], + "Z": [ 2938 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2935 ], + "D1": [ 2936 ], + "SD": [ 2939 ], + "Z": [ 2940 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2937 ], + "D1": [ 2938 ], + "SD": [ 2939 ], + "Z": [ 2941 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2940 ], + "D1": [ 2941 ], + "SD": [ 772 ], + "Z": [ 2920 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39660": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2736 ], + "B": [ 2343 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2934 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39661": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2730 ], + "B": [ 2342 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2939 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39662.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2942 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2943 ], + "Z": [ 2944 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39662.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2942 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2943 ], + "Z": [ 2945 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39662.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2945 ], + "BLUT": [ 2944 ], + "C0": [ 772 ], + "Z": [ 2903 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39663": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2606 ], + "B": [ 2359 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2943 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39664.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2946 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2947 ], + "Z": [ 2948 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39664.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2946 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2947 ], + "Z": [ 2949 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39664.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2949 ], + "BLUT": [ 2948 ], + "C0": [ 772 ], + "Z": [ 2923 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39665": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2591 ], + "B": [ 2354 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2947 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39666.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2950 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2951 ], + "Z": [ 2952 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39666.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2950 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2951 ], + "Z": [ 2953 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39666.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2953 ], + "BLUT": [ 2952 ], + "C0": [ 772 ], + "Z": [ 2904 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39667": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2597 ], + "B": [ 2385 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2951 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39668.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2954 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2955 ], + "Z": [ 2956 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39668.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2954 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2955 ], + "Z": [ 2957 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39668.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2957 ], + "BLUT": [ 2956 ], + "C0": [ 772 ], + "Z": [ 2905 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39669": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2708 ], + "B": [ 2384 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2955 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39670.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2958 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2959 ], + "Z": [ 2960 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39670.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2958 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2959 ], + "Z": [ 2961 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39670.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2961 ], + "BLUT": [ 2960 ], + "C0": [ 772 ], + "Z": [ 2906 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39671": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2725 ], + "B": [ 2387 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2959 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39672.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2962 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2963 ], + "Z": [ 2964 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39672.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2962 ], + "B": [ 767 ], + "C": [ 768 ], + "D": [ 2963 ], + "Z": [ 2965 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39672.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2965 ], + "BLUT": [ 2964 ], + "C0": [ 772 ], + "Z": [ 2915 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39673": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2707 ], + "B": [ 2386 ], + "C": [ 813 ], + "D": [ "0" ], + "Z": [ 2963 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2966 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2967 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2966 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2968 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2966 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2969 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2966 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2970 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2966 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2971 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2966 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2972 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2966 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2973 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2966 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2974 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2968 ], + "BLUT": [ 2967 ], + "C0": [ 736 ], + "Z": [ 2975 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2970 ], + "BLUT": [ 2969 ], + "C0": [ 736 ], + "Z": [ 2976 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2972 ], + "BLUT": [ 2971 ], + "C0": [ 736 ], + "Z": [ 2977 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2974 ], + "BLUT": [ 2973 ], + "C0": [ 736 ], + "Z": [ 2978 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2975 ], + "D1": [ 2976 ], + "SD": [ 746 ], + "Z": [ 2979 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2977 ], + "D1": [ 2978 ], + "SD": [ 746 ], + "Z": [ 2980 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2979 ], + "D1": [ 2980 ], + "SD": [ 744 ], + "Z": [ 720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2981 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2982 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2981 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2983 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2981 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2984 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2981 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2985 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2981 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2986 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2981 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2987 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2981 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2988 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2981 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2989 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2983 ], + "BLUT": [ 2982 ], + "C0": [ 736 ], + "Z": [ 2990 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2985 ], + "BLUT": [ 2984 ], + "C0": [ 736 ], + "Z": [ 2991 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2987 ], + "BLUT": [ 2986 ], + "C0": [ 736 ], + "Z": [ 2992 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2989 ], + "BLUT": [ 2988 ], + "C0": [ 736 ], + "Z": [ 2993 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2990 ], + "D1": [ 2991 ], + "SD": [ 757 ], + "Z": [ 2994 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2992 ], + "D1": [ 2993 ], + "SD": [ 757 ], + "Z": [ 2995 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2994 ], + "D1": [ 2995 ], + "SD": [ 744 ], + "Z": [ 713 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2996 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2997 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2996 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2998 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2996 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 2999 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2996 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3000 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2996 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3001 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2996 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3002 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2996 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3003 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2996 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3004 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2998 ], + "BLUT": [ 2997 ], + "C0": [ 736 ], + "Z": [ 3005 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3000 ], + "BLUT": [ 2999 ], + "C0": [ 736 ], + "Z": [ 3006 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3002 ], + "BLUT": [ 3001 ], + "C0": [ 736 ], + "Z": [ 3007 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3004 ], + "BLUT": [ 3003 ], + "C0": [ 736 ], + "Z": [ 3008 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3005 ], + "D1": [ 3006 ], + "SD": [ 2846 ], + "Z": [ 3009 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3007 ], + "D1": [ 3008 ], + "SD": [ 2846 ], + "Z": [ 3010 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3009 ], + "D1": [ 3010 ], + "SD": [ 744 ], + "Z": [ 714 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3011 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3012 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3011 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3013 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3011 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3014 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3011 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3015 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3011 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3016 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3011 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3017 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3011 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3018 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3011 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3019 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3013 ], + "BLUT": [ 3012 ], + "C0": [ 736 ], + "Z": [ 3020 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3015 ], + "BLUT": [ 3014 ], + "C0": [ 736 ], + "Z": [ 3021 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3017 ], + "BLUT": [ 3016 ], + "C0": [ 736 ], + "Z": [ 3022 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3019 ], + "BLUT": [ 3018 ], + "C0": [ 736 ], + "Z": [ 3023 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3020 ], + "D1": [ 3021 ], + "SD": [ 3024 ], + "Z": [ 3025 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3022 ], + "D1": [ 3023 ], + "SD": [ 3024 ], + "Z": [ 3026 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3025 ], + "D1": [ 3026 ], + "SD": [ 744 ], + "Z": [ 715 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39678": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2761 ], + "B": [ 810 ], + "C": [ 2843 ], + "D": [ "0" ], + "Z": [ 3024 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3027 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3028 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3027 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3029 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3027 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3030 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3027 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3031 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3027 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3032 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3027 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3033 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3027 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3034 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3027 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3035 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3029 ], + "BLUT": [ 3028 ], + "C0": [ 736 ], + "Z": [ 3036 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3031 ], + "BLUT": [ 3030 ], + "C0": [ 736 ], + "Z": [ 3037 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3033 ], + "BLUT": [ 3032 ], + "C0": [ 736 ], + "Z": [ 3038 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3035 ], + "BLUT": [ 3034 ], + "C0": [ 736 ], + "Z": [ 3039 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3036 ], + "D1": [ 3037 ], + "SD": [ 762 ], + "Z": [ 3040 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3038 ], + "D1": [ 3039 ], + "SD": [ 762 ], + "Z": [ 3041 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3040 ], + "D1": [ 3041 ], + "SD": [ 744 ], + "Z": [ 723 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4112 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3042 ], + "B": [ 3043 ], + "C": [ 3044 ], + "D": [ 3045 ], + "Z": [ 3046 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4112 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3042 ], + "B": [ 3043 ], + "C": [ 3044 ], + "D": [ 3045 ], + "Z": [ 3047 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4112 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3042 ], + "B": [ 3043 ], + "C": [ 3044 ], + "D": [ 3045 ], + "Z": [ 3048 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4112 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3042 ], + "B": [ 3043 ], + "C": [ 3044 ], + "D": [ 3045 ], + "Z": [ 3049 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3042 ], + "B": [ 3043 ], + "C": [ 3044 ], + "D": [ 3045 ], + "Z": [ 3050 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3042 ], + "B": [ 3043 ], + "C": [ 3044 ], + "D": [ 3045 ], + "Z": [ 3051 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3042 ], + "B": [ 3043 ], + "C": [ 3044 ], + "D": [ 3045 ], + "Z": [ 3052 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3042 ], + "B": [ 3043 ], + "C": [ 3044 ], + "D": [ 3045 ], + "Z": [ 3053 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3047 ], + "BLUT": [ 3046 ], + "C0": [ 3054 ], + "Z": [ 3055 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3049 ], + "BLUT": [ 3048 ], + "C0": [ 3054 ], + "Z": [ 3056 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3051 ], + "BLUT": [ 3050 ], + "C0": [ 3054 ], + "Z": [ 3057 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3053 ], + "BLUT": [ 3052 ], + "C0": [ 3054 ], + "Z": [ 3058 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3055 ], + "D1": [ 3056 ], + "SD": [ 2861 ], + "Z": [ 3059 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3057 ], + "D1": [ 3058 ], + "SD": [ 2861 ], + "Z": [ 3060 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3059 ], + "D1": [ 3060 ], + "SD": [ 3061 ], + "Z": [ 691 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39681.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 726 ], + "B": [ 727 ], + "C": [ 736 ], + "D": [ 725 ], + "Z": [ 3062 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39681.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 726 ], + "B": [ 727 ], + "C": [ 736 ], + "D": [ 725 ], + "Z": [ 3063 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39681.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3063 ], + "BLUT": [ 3062 ], + "C0": [ 744 ], + "Z": [ 3061 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39682": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2770 ], + "C": [ 2843 ], + "D": [ "0" ], + "Z": [ 3045 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39683": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2934 ], + "C": [ 2843 ], + "D": [ "0" ], + "Z": [ 3054 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3064 ], + "B": [ 3065 ], + "C": [ 3066 ], + "D": [ 3067 ], + "Z": [ 3068 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3064 ], + "B": [ 3065 ], + "C": [ 3066 ], + "D": [ 3067 ], + "Z": [ 3069 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3064 ], + "B": [ 3065 ], + "C": [ 3066 ], + "D": [ 3067 ], + "Z": [ 3070 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3064 ], + "B": [ 3065 ], + "C": [ 3066 ], + "D": [ 3067 ], + "Z": [ 3071 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3064 ], + "B": [ 3065 ], + "C": [ 3066 ], + "D": [ 3067 ], + "Z": [ 3072 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3064 ], + "B": [ 3065 ], + "C": [ 3066 ], + "D": [ 3067 ], + "Z": [ 3073 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3064 ], + "B": [ 3065 ], + "C": [ 3066 ], + "D": [ 3067 ], + "Z": [ 3074 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3064 ], + "B": [ 3065 ], + "C": [ 3066 ], + "D": [ 3067 ], + "Z": [ 3075 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3069 ], + "BLUT": [ 3068 ], + "C0": [ 3076 ], + "Z": [ 3077 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3071 ], + "BLUT": [ 3070 ], + "C0": [ 3076 ], + "Z": [ 3078 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3073 ], + "BLUT": [ 3072 ], + "C0": [ 3076 ], + "Z": [ 3079 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3075 ], + "BLUT": [ 3074 ], + "C0": [ 3076 ], + "Z": [ 3080 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3077 ], + "D1": [ 3078 ], + "SD": [ 3081 ], + "Z": [ 3082 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3079 ], + "D1": [ 3080 ], + "SD": [ 3081 ], + "Z": [ 3083 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3082 ], + "D1": [ 3083 ], + "SD": [ 3084 ], + "Z": [ 692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3085 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3086 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3085 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3087 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3085 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3088 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3085 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3089 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3085 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3090 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3085 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3091 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3085 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3092 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3085 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3093 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3087 ], + "BLUT": [ 3086 ], + "C0": [ 736 ], + "Z": [ 3094 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3089 ], + "BLUT": [ 3088 ], + "C0": [ 736 ], + "Z": [ 3095 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3091 ], + "BLUT": [ 3090 ], + "C0": [ 736 ], + "Z": [ 3096 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3093 ], + "BLUT": [ 3092 ], + "C0": [ 736 ], + "Z": [ 3097 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3094 ], + "D1": [ 3095 ], + "SD": [ 2906 ], + "Z": [ 3098 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3096 ], + "D1": [ 3097 ], + "SD": [ 2906 ], + "Z": [ 3099 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3098 ], + "D1": [ 3099 ], + "SD": [ 744 ], + "Z": [ 3064 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3100 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3101 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3100 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3102 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3100 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3100 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3100 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3105 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3100 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3100 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3107 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3100 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3102 ], + "BLUT": [ 3101 ], + "C0": [ 736 ], + "Z": [ 3109 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3104 ], + "BLUT": [ 3103 ], + "C0": [ 736 ], + "Z": [ 3110 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3106 ], + "BLUT": [ 3105 ], + "C0": [ 736 ], + "Z": [ 3111 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3108 ], + "BLUT": [ 3107 ], + "C0": [ 736 ], + "Z": [ 3112 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3109 ], + "D1": [ 3110 ], + "SD": [ 2905 ], + "Z": [ 3113 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3111 ], + "D1": [ 3112 ], + "SD": [ 2905 ], + "Z": [ 3114 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3113 ], + "D1": [ 3114 ], + "SD": [ 744 ], + "Z": [ 3065 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3115 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3116 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3115 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3117 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3115 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3118 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3115 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3119 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3115 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3120 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3115 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3121 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3115 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3115 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3123 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3117 ], + "BLUT": [ 3116 ], + "C0": [ 736 ], + "Z": [ 3124 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3119 ], + "BLUT": [ 3118 ], + "C0": [ 736 ], + "Z": [ 3125 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3121 ], + "BLUT": [ 3120 ], + "C0": [ 736 ], + "Z": [ 3126 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3123 ], + "BLUT": [ 3122 ], + "C0": [ 736 ], + "Z": [ 3127 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3124 ], + "D1": [ 3125 ], + "SD": [ 747 ], + "Z": [ 3128 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3126 ], + "D1": [ 3127 ], + "SD": [ 747 ], + "Z": [ 3129 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3128 ], + "D1": [ 3129 ], + "SD": [ 744 ], + "Z": [ 3066 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3130 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3131 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3130 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3132 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3130 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3133 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3130 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3134 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3130 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3130 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3136 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3130 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3137 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3130 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3138 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3132 ], + "BLUT": [ 3131 ], + "C0": [ 736 ], + "Z": [ 3139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3134 ], + "BLUT": [ 3133 ], + "C0": [ 736 ], + "Z": [ 3140 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3136 ], + "BLUT": [ 3135 ], + "C0": [ 736 ], + "Z": [ 3141 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3138 ], + "BLUT": [ 3137 ], + "C0": [ 736 ], + "Z": [ 3142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3139 ], + "D1": [ 3140 ], + "SD": [ 2915 ], + "Z": [ 3143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3141 ], + "D1": [ 3142 ], + "SD": [ 2915 ], + "Z": [ 3144 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3143 ], + "D1": [ 3144 ], + "SD": [ 744 ], + "Z": [ 3067 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3145 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3146 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3145 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3147 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3145 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3148 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3145 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3149 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3145 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3150 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3145 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3151 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3145 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3152 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3145 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3153 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3147 ], + "BLUT": [ 3146 ], + "C0": [ 736 ], + "Z": [ 3154 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3149 ], + "BLUT": [ 3148 ], + "C0": [ 736 ], + "Z": [ 3155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3151 ], + "BLUT": [ 3150 ], + "C0": [ 736 ], + "Z": [ 3156 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3153 ], + "BLUT": [ 3152 ], + "C0": [ 736 ], + "Z": [ 3157 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3154 ], + "D1": [ 3155 ], + "SD": [ 2904 ], + "Z": [ 3158 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3156 ], + "D1": [ 3157 ], + "SD": [ 2904 ], + "Z": [ 3159 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3158 ], + "D1": [ 3159 ], + "SD": [ 744 ], + "Z": [ 3076 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3160 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3160 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3162 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3160 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3160 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3160 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3165 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3160 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3166 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3160 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3167 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3160 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3162 ], + "BLUT": [ 3161 ], + "C0": [ 736 ], + "Z": [ 3169 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3164 ], + "BLUT": [ 3163 ], + "C0": [ 736 ], + "Z": [ 3170 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3166 ], + "BLUT": [ 3165 ], + "C0": [ 736 ], + "Z": [ 3171 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3168 ], + "BLUT": [ 3167 ], + "C0": [ 736 ], + "Z": [ 3172 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3169 ], + "D1": [ 3170 ], + "SD": [ 2845 ], + "Z": [ 3173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3171 ], + "D1": [ 3172 ], + "SD": [ 2845 ], + "Z": [ 3174 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3173 ], + "D1": [ 3174 ], + "SD": [ 744 ], + "Z": [ 3081 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3175 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3176 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3175 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3177 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3175 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3175 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3179 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3175 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3180 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3175 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3181 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3175 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3182 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3175 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3183 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3177 ], + "BLUT": [ 3176 ], + "C0": [ 736 ], + "Z": [ 3184 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3179 ], + "BLUT": [ 3178 ], + "C0": [ 736 ], + "Z": [ 3185 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3181 ], + "BLUT": [ 3180 ], + "C0": [ 736 ], + "Z": [ 3186 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3183 ], + "BLUT": [ 3182 ], + "C0": [ 736 ], + "Z": [ 3187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3184 ], + "D1": [ 3185 ], + "SD": [ 2777 ], + "Z": [ 3188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3186 ], + "D1": [ 3187 ], + "SD": [ 2777 ], + "Z": [ 3189 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3188 ], + "D1": [ 3189 ], + "SD": [ 744 ], + "Z": [ 3084 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 5 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3190 ], + "B": [ 2789 ], + "C": [ 3191 ], + "D": [ 3192 ], + "Z": [ 3193 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3190 ], + "B": [ 2789 ], + "C": [ 3191 ], + "D": [ 3192 ], + "Z": [ 3194 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3190 ], + "B": [ 2789 ], + "C": [ 3191 ], + "D": [ 3192 ], + "Z": [ 3195 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3190 ], + "B": [ 2789 ], + "C": [ 3191 ], + "D": [ 3192 ], + "Z": [ 3196 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3190 ], + "B": [ 2789 ], + "C": [ 3191 ], + "D": [ 3192 ], + "Z": [ 3197 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3190 ], + "B": [ 2789 ], + "C": [ 3191 ], + "D": [ 3192 ], + "Z": [ 3198 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3190 ], + "B": [ 2789 ], + "C": [ 3191 ], + "D": [ 3192 ], + "Z": [ 3199 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3190 ], + "B": [ 2789 ], + "C": [ 3191 ], + "D": [ 3192 ], + "Z": [ 3200 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3194 ], + "BLUT": [ 3193 ], + "C0": [ 3201 ], + "Z": [ 3202 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3196 ], + "BLUT": [ 3195 ], + "C0": [ 3201 ], + "Z": [ 3203 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3198 ], + "BLUT": [ 3197 ], + "C0": [ 3201 ], + "Z": [ 3204 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3200 ], + "BLUT": [ 3199 ], + "C0": [ 3201 ], + "Z": [ 3205 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3202 ], + "D1": [ 3203 ], + "SD": [ 3206 ], + "Z": [ 3207 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3204 ], + "D1": [ 3205 ], + "SD": [ 3206 ], + "Z": [ 3208 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3207 ], + "D1": [ 3208 ], + "SD": [ 3061 ], + "Z": [ 693 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3209 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3210 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3209 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3211 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3209 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3212 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3209 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3213 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3209 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3214 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3209 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3215 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3209 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3216 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3209 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3217 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3211 ], + "BLUT": [ 3210 ], + "C0": [ 736 ], + "Z": [ 3218 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3213 ], + "BLUT": [ 3212 ], + "C0": [ 736 ], + "Z": [ 3219 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3215 ], + "BLUT": [ 3214 ], + "C0": [ 736 ], + "Z": [ 3220 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3217 ], + "BLUT": [ 3216 ], + "C0": [ 736 ], + "Z": [ 3221 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3218 ], + "D1": [ 3219 ], + "SD": [ 745 ], + "Z": [ 3222 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3220 ], + "D1": [ 3221 ], + "SD": [ 745 ], + "Z": [ 3223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3222 ], + "D1": [ 3223 ], + "SD": [ 744 ], + "Z": [ 3206 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3224 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3224 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3226 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3224 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3227 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3224 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3228 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3224 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3224 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3230 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3224 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3224 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3232 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3226 ], + "BLUT": [ 3225 ], + "C0": [ 736 ], + "Z": [ 3233 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3228 ], + "BLUT": [ 3227 ], + "C0": [ 736 ], + "Z": [ 3234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3230 ], + "BLUT": [ 3229 ], + "C0": [ 736 ], + "Z": [ 3235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3232 ], + "BLUT": [ 3231 ], + "C0": [ 736 ], + "Z": [ 3236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3233 ], + "D1": [ 3234 ], + "SD": [ 748 ], + "Z": [ 3237 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3235 ], + "D1": [ 3236 ], + "SD": [ 748 ], + "Z": [ 3238 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3237 ], + "D1": [ 3238 ], + "SD": [ 744 ], + "Z": [ 3191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3239 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3240 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3239 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3241 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3239 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3242 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3239 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3239 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3244 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3239 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3245 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3239 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3239 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3241 ], + "BLUT": [ 3240 ], + "C0": [ 736 ], + "Z": [ 3248 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3243 ], + "BLUT": [ 3242 ], + "C0": [ 736 ], + "Z": [ 3249 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3245 ], + "BLUT": [ 3244 ], + "C0": [ 736 ], + "Z": [ 3250 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3247 ], + "BLUT": [ 3246 ], + "C0": [ 736 ], + "Z": [ 3251 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3248 ], + "D1": [ 3249 ], + "SD": [ 2780 ], + "Z": [ 3252 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3250 ], + "D1": [ 3251 ], + "SD": [ 2780 ], + "Z": [ 3253 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3252 ], + "D1": [ 3253 ], + "SD": [ 744 ], + "Z": [ 3192 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3254 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3255 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3254 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3256 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3254 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3257 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3254 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3258 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3254 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3259 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3254 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3260 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3254 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3254 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3256 ], + "BLUT": [ 3255 ], + "C0": [ 736 ], + "Z": [ 3263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3258 ], + "BLUT": [ 3257 ], + "C0": [ 736 ], + "Z": [ 3264 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3260 ], + "BLUT": [ 3259 ], + "C0": [ 736 ], + "Z": [ 3265 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3262 ], + "BLUT": [ 3261 ], + "C0": [ 736 ], + "Z": [ 3266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3263 ], + "D1": [ 3264 ], + "SD": [ 2794 ], + "Z": [ 3267 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3265 ], + "D1": [ 3266 ], + "SD": [ 2794 ], + "Z": [ 3268 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3267 ], + "D1": [ 3268 ], + "SD": [ 744 ], + "Z": [ 3201 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3269 ], + "B": [ 3270 ], + "C": [ 3271 ], + "D": [ 3272 ], + "Z": [ 3273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3269 ], + "B": [ 3270 ], + "C": [ 3271 ], + "D": [ 3272 ], + "Z": [ 3274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3269 ], + "B": [ 3270 ], + "C": [ 3271 ], + "D": [ 3272 ], + "Z": [ 3275 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3269 ], + "B": [ 3270 ], + "C": [ 3271 ], + "D": [ 3272 ], + "Z": [ 3276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3274 ], + "BLUT": [ 3273 ], + "C0": [ 3277 ], + "Z": [ 3278 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3276 ], + "BLUT": [ 3275 ], + "C0": [ 3277 ], + "Z": [ 3279 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3278 ], + "D1": [ 3279 ], + "SD": [ 3280 ], + "Z": [ 694 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3281 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3282 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3281 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3281 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3281 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3285 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3281 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3286 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3281 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3287 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3281 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3288 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3281 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3289 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3283 ], + "BLUT": [ 3282 ], + "C0": [ 736 ], + "Z": [ 3290 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3285 ], + "BLUT": [ 3284 ], + "C0": [ 736 ], + "Z": [ 3291 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3287 ], + "BLUT": [ 3286 ], + "C0": [ 736 ], + "Z": [ 3292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3289 ], + "BLUT": [ 3288 ], + "C0": [ 736 ], + "Z": [ 3293 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3290 ], + "D1": [ 3291 ], + "SD": [ 2779 ], + "Z": [ 3294 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3292 ], + "D1": [ 3293 ], + "SD": [ 2779 ], + "Z": [ 3295 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3294 ], + "D1": [ 3295 ], + "SD": [ 744 ], + "Z": [ 3269 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3296 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3297 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3296 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3298 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3296 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3296 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3300 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3296 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3301 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3296 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3302 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3296 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3303 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3296 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3298 ], + "BLUT": [ 3297 ], + "C0": [ 736 ], + "Z": [ 3305 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3300 ], + "BLUT": [ 3299 ], + "C0": [ 736 ], + "Z": [ 3306 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3302 ], + "BLUT": [ 3301 ], + "C0": [ 736 ], + "Z": [ 3307 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3304 ], + "BLUT": [ 3303 ], + "C0": [ 736 ], + "Z": [ 3308 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3305 ], + "D1": [ 3306 ], + "SD": [ 3309 ], + "Z": [ 3310 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3307 ], + "D1": [ 3308 ], + "SD": [ 3309 ], + "Z": [ 3311 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3310 ], + "D1": [ 3311 ], + "SD": [ 744 ], + "Z": [ 3270 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39700": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 244 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2843 ], + "B": [ 2808 ], + "C": [ 2823 ], + "D": [ "0" ], + "Z": [ 3309 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3312 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3313 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3312 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3312 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3315 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3312 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3316 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3312 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3312 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3318 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3312 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3319 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3312 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3320 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3314 ], + "BLUT": [ 3313 ], + "C0": [ 736 ], + "Z": [ 3321 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3316 ], + "BLUT": [ 3315 ], + "C0": [ 736 ], + "Z": [ 3322 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3318 ], + "BLUT": [ 3317 ], + "C0": [ 736 ], + "Z": [ 3323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3320 ], + "BLUT": [ 3319 ], + "C0": [ 736 ], + "Z": [ 3324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3321 ], + "D1": [ 3322 ], + "SD": [ 3325 ], + "Z": [ 3326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3323 ], + "D1": [ 3324 ], + "SD": [ 3325 ], + "Z": [ 3327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3326 ], + "D1": [ 3327 ], + "SD": [ 744 ], + "Z": [ 3280 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39702": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2887 ], + "B": [ 2900 ], + "C": [ 2843 ], + "D": [ "0" ], + "Z": [ 3325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3328 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3329 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3328 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3330 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3328 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3331 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3328 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3328 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3333 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3328 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3334 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3328 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3335 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3328 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3336 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3330 ], + "BLUT": [ 3329 ], + "C0": [ 736 ], + "Z": [ 3337 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3332 ], + "BLUT": [ 3331 ], + "C0": [ 736 ], + "Z": [ 3338 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3334 ], + "BLUT": [ 3333 ], + "C0": [ 736 ], + "Z": [ 3339 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3336 ], + "BLUT": [ 3335 ], + "C0": [ 736 ], + "Z": [ 3340 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3337 ], + "D1": [ 3338 ], + "SD": [ 2847 ], + "Z": [ 3341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3339 ], + "D1": [ 3340 ], + "SD": [ 2847 ], + "Z": [ 3342 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3341 ], + "D1": [ 3342 ], + "SD": [ 744 ], + "Z": [ 3271 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3343 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3343 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3343 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3343 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3347 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3343 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3348 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3343 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3349 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3343 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3343 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3351 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3345 ], + "BLUT": [ 3344 ], + "C0": [ 736 ], + "Z": [ 3352 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3347 ], + "BLUT": [ 3346 ], + "C0": [ 736 ], + "Z": [ 3353 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3349 ], + "BLUT": [ 3348 ], + "C0": [ 736 ], + "Z": [ 3354 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3351 ], + "BLUT": [ 3350 ], + "C0": [ 736 ], + "Z": [ 3355 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3352 ], + "D1": [ 3353 ], + "SD": [ 2844 ], + "Z": [ 3356 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3354 ], + "D1": [ 3355 ], + "SD": [ 2844 ], + "Z": [ 3357 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3356 ], + "D1": [ 3357 ], + "SD": [ 744 ], + "Z": [ 3272 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3358 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3359 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3358 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3360 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3358 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3361 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3358 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3362 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3358 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3363 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3358 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3364 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3358 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3365 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3358 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3366 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3360 ], + "BLUT": [ 3359 ], + "C0": [ 736 ], + "Z": [ 3367 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3362 ], + "BLUT": [ 3361 ], + "C0": [ 736 ], + "Z": [ 3368 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3364 ], + "BLUT": [ 3363 ], + "C0": [ 736 ], + "Z": [ 3369 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3366 ], + "BLUT": [ 3365 ], + "C0": [ 736 ], + "Z": [ 3370 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3367 ], + "D1": [ 3368 ], + "SD": [ 2856 ], + "Z": [ 3371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3369 ], + "D1": [ 3370 ], + "SD": [ 2856 ], + "Z": [ 3372 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3371 ], + "D1": [ 3372 ], + "SD": [ 744 ], + "Z": [ 3277 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 5 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3373 ], + "B": [ 2903 ], + "C": [ 3374 ], + "D": [ 3375 ], + "Z": [ 3376 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3373 ], + "B": [ 2903 ], + "C": [ 3374 ], + "D": [ 3375 ], + "Z": [ 3377 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3373 ], + "B": [ 2903 ], + "C": [ 3374 ], + "D": [ 3375 ], + "Z": [ 3378 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3373 ], + "B": [ 2903 ], + "C": [ 3374 ], + "D": [ 3375 ], + "Z": [ 3379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3373 ], + "B": [ 2903 ], + "C": [ 3374 ], + "D": [ 3375 ], + "Z": [ 3380 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3373 ], + "B": [ 2903 ], + "C": [ 3374 ], + "D": [ 3375 ], + "Z": [ 3381 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3373 ], + "B": [ 2903 ], + "C": [ 3374 ], + "D": [ 3375 ], + "Z": [ 3382 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3373 ], + "B": [ 2903 ], + "C": [ 3374 ], + "D": [ 3375 ], + "Z": [ 3383 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3377 ], + "BLUT": [ 3376 ], + "C0": [ 3384 ], + "Z": [ 3385 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3379 ], + "BLUT": [ 3378 ], + "C0": [ 3384 ], + "Z": [ 3386 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3381 ], + "BLUT": [ 3380 ], + "C0": [ 3384 ], + "Z": [ 3387 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3383 ], + "BLUT": [ 3382 ], + "C0": [ 3384 ], + "Z": [ 3388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3385 ], + "D1": [ 3386 ], + "SD": [ 3389 ], + "Z": [ 3390 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3387 ], + "D1": [ 3388 ], + "SD": [ 3389 ], + "Z": [ 3391 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3390 ], + "D1": [ 3391 ], + "SD": [ 3061 ], + "Z": [ 703 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3392 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3393 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3392 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3394 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3392 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3395 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3392 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3396 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3392 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3397 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3392 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3398 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3392 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3399 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3392 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3400 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3394 ], + "BLUT": [ 3393 ], + "C0": [ 736 ], + "Z": [ 3401 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3396 ], + "BLUT": [ 3395 ], + "C0": [ 736 ], + "Z": [ 3402 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3398 ], + "BLUT": [ 3397 ], + "C0": [ 736 ], + "Z": [ 3403 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3400 ], + "BLUT": [ 3399 ], + "C0": [ 736 ], + "Z": [ 3404 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3401 ], + "D1": [ 3402 ], + "SD": [ 3405 ], + "Z": [ 3406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3403 ], + "D1": [ 3404 ], + "SD": [ 3405 ], + "Z": [ 3407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3406 ], + "D1": [ 3407 ], + "SD": [ 744 ], + "Z": [ 3389 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39708": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2925 ], + "B": [ 2939 ], + "C": [ 2843 ], + "D": [ "0" ], + "Z": [ 3405 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3408 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3409 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3408 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3410 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3408 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3408 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3412 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3408 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3413 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3408 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3414 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3408 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3415 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3408 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3416 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3410 ], + "BLUT": [ 3409 ], + "C0": [ 736 ], + "Z": [ 3417 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3412 ], + "BLUT": [ 3411 ], + "C0": [ 736 ], + "Z": [ 3418 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3414 ], + "BLUT": [ 3413 ], + "C0": [ 736 ], + "Z": [ 3419 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3416 ], + "BLUT": [ 3415 ], + "C0": [ 736 ], + "Z": [ 3420 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3417 ], + "D1": [ 3418 ], + "SD": [ 3421 ], + "Z": [ 3422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3419 ], + "D1": [ 3420 ], + "SD": [ 3421 ], + "Z": [ 3423 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3422 ], + "D1": [ 3423 ], + "SD": [ 744 ], + "Z": [ 3374 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39710": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2807 ], + "B": [ 2806 ], + "C": [ 2843 ], + "D": [ "0" ], + "Z": [ 3421 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3424 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3424 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3426 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3424 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3427 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3424 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3424 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3429 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3424 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3424 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3431 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3424 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3432 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3426 ], + "BLUT": [ 3425 ], + "C0": [ 736 ], + "Z": [ 3433 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3428 ], + "BLUT": [ 3427 ], + "C0": [ 736 ], + "Z": [ 3434 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3430 ], + "BLUT": [ 3429 ], + "C0": [ 736 ], + "Z": [ 3435 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3432 ], + "BLUT": [ 3431 ], + "C0": [ 736 ], + "Z": [ 3436 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3433 ], + "D1": [ 3434 ], + "SD": [ 2778 ], + "Z": [ 3437 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3435 ], + "D1": [ 3436 ], + "SD": [ 2778 ], + "Z": [ 3438 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3437 ], + "D1": [ 3438 ], + "SD": [ 744 ], + "Z": [ 3375 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3439 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3440 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3439 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3441 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3439 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3439 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3439 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3439 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3445 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3439 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3446 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3439 ], + "B": [ 725 ], + "C": [ 726 ], + "D": [ 727 ], + "Z": [ 3447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3441 ], + "BLUT": [ 3440 ], + "C0": [ 736 ], + "Z": [ 3448 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3443 ], + "BLUT": [ 3442 ], + "C0": [ 736 ], + "Z": [ 3449 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3445 ], + "BLUT": [ 3444 ], + "C0": [ 736 ], + "Z": [ 3450 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3447 ], + "BLUT": [ 3446 ], + "C0": [ 736 ], + "Z": [ 3451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3448 ], + "D1": [ 3449 ], + "SD": [ 2923 ], + "Z": [ 3452 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3450 ], + "D1": [ 3451 ], + "SD": [ 2923 ], + "Z": [ 3453 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3452 ], + "D1": [ 3453 ], + "SD": [ 744 ], + "Z": [ 3384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39713": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3373 ], + "B": [ 2903 ], + "C": [ 3061 ], + "D": [ "0" ], + "Z": [ 689 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39714": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3454 ], + "B": [ 713 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 668 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39715": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3455 ], + "B": [ 3084 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39716": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3456 ], + "B": [ 712 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 670 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39717.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3457 ], + "B": [ 3458 ], + "C": [ 3272 ], + "D": [ 714 ], + "Z": [ 3459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39717.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3457 ], + "B": [ 3458 ], + "C": [ 3272 ], + "D": [ 714 ], + "Z": [ 3460 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39717.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3460 ], + "BLUT": [ 3459 ], + "C0": [ 690 ], + "Z": [ 684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39718": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3461 ], + "B": [ 3271 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 679 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39719.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3462 ], + "B": [ 3463 ], + "C": [ 3270 ], + "D": [ 3081 ], + "Z": [ 3464 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39719.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3462 ], + "B": [ 3463 ], + "C": [ 3270 ], + "D": [ 3081 ], + "Z": [ 3465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39719.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3465 ], + "BLUT": [ 3464 ], + "C0": [ 690 ], + "Z": [ 687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3466 ], + "B": [ 3467 ], + "C": [ 3468 ], + "D": [ 3469 ], + "Z": [ 3470 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3466 ], + "B": [ 3467 ], + "C": [ 3468 ], + "D": [ 3469 ], + "Z": [ 3471 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3466 ], + "B": [ 3467 ], + "C": [ 3468 ], + "D": [ 3469 ], + "Z": [ 3472 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3466 ], + "B": [ 3467 ], + "C": [ 3468 ], + "D": [ 3469 ], + "Z": [ 3473 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3466 ], + "B": [ 3467 ], + "C": [ 3468 ], + "D": [ 3469 ], + "Z": [ 3474 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3466 ], + "B": [ 3467 ], + "C": [ 3468 ], + "D": [ 3469 ], + "Z": [ 3475 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3466 ], + "B": [ 3467 ], + "C": [ 3468 ], + "D": [ 3469 ], + "Z": [ 3476 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3466 ], + "B": [ 3467 ], + "C": [ 3468 ], + "D": [ 3469 ], + "Z": [ 3477 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3471 ], + "BLUT": [ 3470 ], + "C0": [ 3478 ], + "Z": [ 3479 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3473 ], + "BLUT": [ 3472 ], + "C0": [ 3478 ], + "Z": [ 3480 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3475 ], + "BLUT": [ 3474 ], + "C0": [ 3478 ], + "Z": [ 3481 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3477 ], + "BLUT": [ 3476 ], + "C0": [ 3478 ], + "Z": [ 3482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3479 ], + "D1": [ 3480 ], + "SD": [ 3483 ], + "Z": [ 3484 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3481 ], + "D1": [ 3482 ], + "SD": [ 3483 ], + "Z": [ 3485 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3484 ], + "D1": [ 3485 ], + "SD": [ 3486 ], + "Z": [ 663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39721.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3487 ], + "B": [ 3488 ], + "C": [ 3065 ], + "D": [ 3076 ], + "Z": [ 3489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39721.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3487 ], + "B": [ 3488 ], + "C": [ 3065 ], + "D": [ 3076 ], + "Z": [ 3490 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39721.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3490 ], + "BLUT": [ 3489 ], + "C0": [ 690 ], + "Z": [ 3466 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39722.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3491 ], + "B": [ 3492 ], + "C": [ 3064 ], + "D": [ 3067 ], + "Z": [ 3493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39722.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3491 ], + "B": [ 3492 ], + "C": [ 3064 ], + "D": [ 3067 ], + "Z": [ 3494 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39722.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3494 ], + "BLUT": [ 3493 ], + "C0": [ 690 ], + "Z": [ 3467 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39723.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3495 ], + "B": [ 3496 ], + "C": [ 3206 ], + "D": [ 3280 ], + "Z": [ 3497 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39723.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3495 ], + "B": [ 3496 ], + "C": [ 3206 ], + "D": [ 3280 ], + "Z": [ 3498 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39723.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3498 ], + "BLUT": [ 3497 ], + "C0": [ 690 ], + "Z": [ 3468 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39724.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3499 ], + "B": [ 3500 ], + "C": [ 3066 ], + "D": [ 723 ], + "Z": [ 3501 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39724.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3499 ], + "B": [ 3500 ], + "C": [ 3066 ], + "D": [ 723 ], + "Z": [ 3502 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39724.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3502 ], + "BLUT": [ 3501 ], + "C0": [ 690 ], + "Z": [ 3469 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39725.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3503 ], + "B": [ 3504 ], + "C": [ 3505 ], + "D": [ 3384 ], + "Z": [ 3506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39725.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3503 ], + "B": [ 3504 ], + "C": [ 3505 ], + "D": [ 3384 ], + "Z": [ 3507 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39725.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3507 ], + "BLUT": [ 3506 ], + "C0": [ 690 ], + "Z": [ 3478 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39726": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3043 ], + "B": [ 3054 ], + "C": [ 3061 ], + "D": [ "0" ], + "Z": [ 3505 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39727.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3508 ], + "B": [ 3509 ], + "C": [ 3510 ], + "D": [ 3375 ], + "Z": [ 3511 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39727.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3508 ], + "B": [ 3509 ], + "C": [ 3510 ], + "D": [ 3375 ], + "Z": [ 3512 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39727.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3512 ], + "BLUT": [ 3511 ], + "C0": [ 690 ], + "Z": [ 3483 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39728": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3042 ], + "B": [ 3045 ], + "C": [ 3061 ], + "D": [ "0" ], + "Z": [ 3510 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3513 ], + "B": [ 3514 ], + "C": [ 3515 ], + "D": [ 3389 ], + "Z": [ 3516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3513 ], + "B": [ 3514 ], + "C": [ 3515 ], + "D": [ 3389 ], + "Z": [ 3517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3513 ], + "B": [ 3514 ], + "C": [ 3515 ], + "D": [ 3389 ], + "Z": [ 3518 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3513 ], + "B": [ 3514 ], + "C": [ 3515 ], + "D": [ 3389 ], + "Z": [ 3519 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3513 ], + "B": [ 3514 ], + "C": [ 3515 ], + "D": [ 3389 ], + "Z": [ 3520 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3513 ], + "B": [ 3514 ], + "C": [ 3515 ], + "D": [ 3389 ], + "Z": [ 3521 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3513 ], + "B": [ 3514 ], + "C": [ 3515 ], + "D": [ 3389 ], + "Z": [ 3522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3513 ], + "B": [ 3514 ], + "C": [ 3515 ], + "D": [ 3389 ], + "Z": [ 3523 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3517 ], + "BLUT": [ 3516 ], + "C0": [ 3374 ], + "Z": [ 3524 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3519 ], + "BLUT": [ 3518 ], + "C0": [ 3374 ], + "Z": [ 3525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3521 ], + "BLUT": [ 3520 ], + "C0": [ 3374 ], + "Z": [ 3526 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3523 ], + "BLUT": [ 3522 ], + "C0": [ 3374 ], + "Z": [ 3527 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3524 ], + "D1": [ 3525 ], + "SD": [ 3191 ], + "Z": [ 3528 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3526 ], + "D1": [ 3527 ], + "SD": [ 3191 ], + "Z": [ 3529 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3528 ], + "D1": [ 3529 ], + "SD": [ 690 ], + "Z": [ 3486 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3530 ], + "B": [ 3531 ], + "C": [ 3532 ], + "D": [ 3533 ], + "Z": [ 3534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3530 ], + "B": [ 3531 ], + "C": [ 3532 ], + "D": [ 3533 ], + "Z": [ 3535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3530 ], + "B": [ 3531 ], + "C": [ 3532 ], + "D": [ 3533 ], + "Z": [ 3536 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3530 ], + "B": [ 3531 ], + "C": [ 3532 ], + "D": [ 3533 ], + "Z": [ 3537 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3530 ], + "B": [ 3531 ], + "C": [ 3532 ], + "D": [ 3533 ], + "Z": [ 3538 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3530 ], + "B": [ 3531 ], + "C": [ 3532 ], + "D": [ 3533 ], + "Z": [ 3539 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3530 ], + "B": [ 3531 ], + "C": [ 3532 ], + "D": [ 3533 ], + "Z": [ 3540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3530 ], + "B": [ 3531 ], + "C": [ 3532 ], + "D": [ 3533 ], + "Z": [ 3541 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3535 ], + "BLUT": [ 3534 ], + "C0": [ 3542 ], + "Z": [ 3543 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3537 ], + "BLUT": [ 3536 ], + "C0": [ 3542 ], + "Z": [ 3544 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3539 ], + "BLUT": [ 3538 ], + "C0": [ 3542 ], + "Z": [ 3545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3541 ], + "BLUT": [ 3540 ], + "C0": [ 3542 ], + "Z": [ 3546 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3543 ], + "D1": [ 3544 ], + "SD": [ 3547 ], + "Z": [ 3548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3545 ], + "D1": [ 3546 ], + "SD": [ 3547 ], + "Z": [ 3549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3548 ], + "D1": [ 3549 ], + "SD": [ 3550 ], + "Z": [ 664 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39731": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3551 ], + "B": [ 3277 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3530 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39732": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3552 ], + "B": [ 3269 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39733": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3553 ], + "B": [ 3192 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39734": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3554 ], + "B": [ 3555 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3533 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39735": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3190 ], + "B": [ 2789 ], + "C": [ 3061 ], + "D": [ "0" ], + "Z": [ 3555 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39736": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3556 ], + "B": [ 3201 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3542 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39737": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3557 ], + "B": [ 715 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3547 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39738.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3558 ], + "B": [ 3559 ], + "C": [ 3560 ], + "D": [ 720 ], + "Z": [ 3561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39738.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3558 ], + "B": [ 3559 ], + "C": [ 3560 ], + "D": [ 720 ], + "Z": [ 3562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39738.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3562 ], + "BLUT": [ 3561 ], + "C0": [ 690 ], + "Z": [ 3550 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39739": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3044 ], + "B": [ 2861 ], + "C": [ 3061 ], + "D": [ "0" ], + "Z": [ 3560 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3563 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3563 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3563 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3566 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3563 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3567 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3565 ], + "BLUT": [ 3564 ], + "C0": [ 3568 ], + "Z": [ 3569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3567 ], + "BLUT": [ 3566 ], + "C0": [ 3568 ], + "Z": [ 3570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3569 ], + "D1": [ 3570 ], + "SD": [ 666 ], + "Z": [ 654 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39741": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3499 ], + "B": [ 3066 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3571 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3572 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3571 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3573 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3571 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3574 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3571 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3575 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3573 ], + "BLUT": [ 3572 ], + "C0": [ 3531 ], + "Z": [ 3576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3575 ], + "BLUT": [ 3574 ], + "C0": [ 3531 ], + "Z": [ 3577 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3576 ], + "D1": [ 3577 ], + "SD": [ 666 ], + "Z": [ 659 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39743": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3504 ], + "B": [ 3384 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 644 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39744": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3503 ], + "B": [ 3505 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 645 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3578 ], + "B": [ 3579 ], + "C": [ 3580 ], + "D": [ 3581 ], + "Z": [ 3582 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3578 ], + "B": [ 3579 ], + "C": [ 3580 ], + "D": [ 3581 ], + "Z": [ 3583 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3578 ], + "B": [ 3579 ], + "C": [ 3580 ], + "D": [ 3581 ], + "Z": [ 3584 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3578 ], + "B": [ 3579 ], + "C": [ 3580 ], + "D": [ 3581 ], + "Z": [ 3585 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3578 ], + "B": [ 3579 ], + "C": [ 3580 ], + "D": [ 3581 ], + "Z": [ 3586 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3578 ], + "B": [ 3579 ], + "C": [ 3580 ], + "D": [ 3581 ], + "Z": [ 3587 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3578 ], + "B": [ 3579 ], + "C": [ 3580 ], + "D": [ 3581 ], + "Z": [ 3588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3578 ], + "B": [ 3579 ], + "C": [ 3580 ], + "D": [ 3581 ], + "Z": [ 3589 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3583 ], + "BLUT": [ 3582 ], + "C0": [ 3590 ], + "Z": [ 3591 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3585 ], + "BLUT": [ 3584 ], + "C0": [ 3590 ], + "Z": [ 3592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3587 ], + "BLUT": [ 3586 ], + "C0": [ 3590 ], + "Z": [ 3593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3589 ], + "BLUT": [ 3588 ], + "C0": [ 3590 ], + "Z": [ 3594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3591 ], + "D1": [ 3592 ], + "SD": [ 3595 ], + "Z": [ 3596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3593 ], + "D1": [ 3594 ], + "SD": [ 3595 ], + "Z": [ 3597 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3596 ], + "D1": [ 3597 ], + "SD": [ 662 ], + "Z": [ 639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3598 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3599 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3598 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3600 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3598 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3601 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3598 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3602 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3600 ], + "BLUT": [ 3599 ], + "C0": [ 3603 ], + "Z": [ 3604 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3602 ], + "BLUT": [ 3601 ], + "C0": [ 3603 ], + "Z": [ 3605 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3604 ], + "D1": [ 3605 ], + "SD": [ 666 ], + "Z": [ 3590 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39747": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3389 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3603 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3606 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3607 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3606 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3608 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3606 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3606 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3610 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3608 ], + "BLUT": [ 3607 ], + "C0": [ 3611 ], + "Z": [ 3612 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3610 ], + "BLUT": [ 3609 ], + "C0": [ 3611 ], + "Z": [ 3613 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3612 ], + "D1": [ 3613 ], + "SD": [ 666 ], + "Z": [ 3595 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39749": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3513 ], + "B": [ 3374 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3611 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39750": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3495 ], + "B": [ 3206 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39751": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3508 ], + "B": [ 3375 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3581 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3614 ], + "B": [ 3615 ], + "C": [ 3616 ], + "D": [ 3617 ], + "Z": [ 3618 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3614 ], + "B": [ 3615 ], + "C": [ 3616 ], + "D": [ 3617 ], + "Z": [ 3619 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3614 ], + "B": [ 3615 ], + "C": [ 3616 ], + "D": [ 3617 ], + "Z": [ 3620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3614 ], + "B": [ 3615 ], + "C": [ 3616 ], + "D": [ 3617 ], + "Z": [ 3621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3614 ], + "B": [ 3615 ], + "C": [ 3616 ], + "D": [ 3617 ], + "Z": [ 3622 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3614 ], + "B": [ 3615 ], + "C": [ 3616 ], + "D": [ 3617 ], + "Z": [ 3623 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61440 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3614 ], + "B": [ 3615 ], + "C": [ 3616 ], + "D": [ 3617 ], + "Z": [ 3624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3614 ], + "B": [ 3615 ], + "C": [ 3616 ], + "D": [ 3617 ], + "Z": [ 3625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3619 ], + "BLUT": [ 3618 ], + "C0": [ 3626 ], + "Z": [ 3627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3621 ], + "BLUT": [ 3620 ], + "C0": [ 3626 ], + "Z": [ 3628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3623 ], + "BLUT": [ 3622 ], + "C0": [ 3626 ], + "Z": [ 3629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3625 ], + "BLUT": [ 3624 ], + "C0": [ 3626 ], + "Z": [ 3630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3627 ], + "D1": [ 3628 ], + "SD": [ 662 ], + "Z": [ 3631 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3629 ], + "D1": [ 3630 ], + "SD": [ 662 ], + "Z": [ 3632 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3631 ], + "D1": [ 3632 ], + "SD": [ 3633 ], + "Z": [ 640 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3634 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3634 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3634 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3637 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3634 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3638 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3636 ], + "BLUT": [ 3635 ], + "C0": [ 3639 ], + "Z": [ 3640 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3638 ], + "BLUT": [ 3637 ], + "C0": [ 3639 ], + "Z": [ 3641 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3640 ], + "D1": [ 3641 ], + "SD": [ 666 ], + "Z": [ 3633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39754": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3559 ], + "B": [ 720 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3642 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3643 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3642 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3644 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3642 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3645 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3642 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3646 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3644 ], + "BLUT": [ 3643 ], + "C0": [ 3647 ], + "Z": [ 3648 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3646 ], + "BLUT": [ 3645 ], + "C0": [ 3647 ], + "Z": [ 3649 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3648 ], + "D1": [ 3649 ], + "SD": [ 666 ], + "Z": [ 3626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39756": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3500 ], + "B": [ 723 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3647 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39757": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3457 ], + "B": [ 3272 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39758": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3496 ], + "B": [ 3280 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3650 ], + "B": [ 3651 ], + "C": [ 3652 ], + "D": [ 3653 ], + "Z": [ 3654 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3650 ], + "B": [ 3651 ], + "C": [ 3652 ], + "D": [ 3653 ], + "Z": [ 3655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3650 ], + "B": [ 3651 ], + "C": [ 3652 ], + "D": [ 3653 ], + "Z": [ 3656 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3650 ], + "B": [ 3651 ], + "C": [ 3652 ], + "D": [ 3653 ], + "Z": [ 3657 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3650 ], + "B": [ 3651 ], + "C": [ 3652 ], + "D": [ 3653 ], + "Z": [ 3658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3650 ], + "B": [ 3651 ], + "C": [ 3652 ], + "D": [ 3653 ], + "Z": [ 3659 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3650 ], + "B": [ 3651 ], + "C": [ 3652 ], + "D": [ 3653 ], + "Z": [ 3660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3650 ], + "B": [ 3651 ], + "C": [ 3652 ], + "D": [ 3653 ], + "Z": [ 3661 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3655 ], + "BLUT": [ 3654 ], + "C0": [ 3662 ], + "Z": [ 3663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3657 ], + "BLUT": [ 3656 ], + "C0": [ 3662 ], + "Z": [ 3664 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3659 ], + "BLUT": [ 3658 ], + "C0": [ 3662 ], + "Z": [ 3665 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3661 ], + "BLUT": [ 3660 ], + "C0": [ 3662 ], + "Z": [ 3666 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3663 ], + "D1": [ 3664 ], + "SD": [ 3667 ], + "Z": [ 3668 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3665 ], + "D1": [ 3666 ], + "SD": [ 3667 ], + "Z": [ 3669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3668 ], + "D1": [ 3669 ], + "SD": [ 662 ], + "Z": [ 641 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3670 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3670 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3672 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3670 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3670 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3672 ], + "BLUT": [ 3671 ], + "C0": [ 669 ], + "Z": [ 3675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3674 ], + "BLUT": [ 3673 ], + "C0": [ 669 ], + "Z": [ 3676 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3675 ], + "D1": [ 3676 ], + "SD": [ 666 ], + "Z": [ 3662 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3677 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3677 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3679 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3677 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3680 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3677 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3681 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3679 ], + "BLUT": [ 3678 ], + "C0": [ 3532 ], + "Z": [ 3682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3681 ], + "BLUT": [ 3680 ], + "C0": [ 3532 ], + "Z": [ 3683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3682 ], + "D1": [ 3683 ], + "SD": [ 666 ], + "Z": [ 3667 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39762": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3491 ], + "B": [ 3064 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3652 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39763": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3463 ], + "B": [ 3270 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3653 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39764": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3684 ], + "B": [ 3685 ], + "C": [ 3686 ], + "D": [ 3687 ], + "Z": [ 633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3688 ], + "B": [ 3689 ], + "C": [ 3690 ], + "D": [ 3691 ], + "Z": [ 3692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3688 ], + "B": [ 3689 ], + "C": [ 3690 ], + "D": [ 3691 ], + "Z": [ 3693 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3688 ], + "B": [ 3689 ], + "C": [ 3690 ], + "D": [ 3691 ], + "Z": [ 3694 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3688 ], + "B": [ 3689 ], + "C": [ 3690 ], + "D": [ 3691 ], + "Z": [ 3695 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3688 ], + "B": [ 3689 ], + "C": [ 3690 ], + "D": [ 3691 ], + "Z": [ 3696 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3688 ], + "B": [ 3689 ], + "C": [ 3690 ], + "D": [ 3691 ], + "Z": [ 3697 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3688 ], + "B": [ 3689 ], + "C": [ 3690 ], + "D": [ 3691 ], + "Z": [ 3698 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3688 ], + "B": [ 3689 ], + "C": [ 3690 ], + "D": [ 3691 ], + "Z": [ 3699 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3693 ], + "BLUT": [ 3692 ], + "C0": [ 3700 ], + "Z": [ 3701 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3695 ], + "BLUT": [ 3694 ], + "C0": [ 3700 ], + "Z": [ 3702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3697 ], + "BLUT": [ 3696 ], + "C0": [ 3700 ], + "Z": [ 3703 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3699 ], + "BLUT": [ 3698 ], + "C0": [ 3700 ], + "Z": [ 3704 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3701 ], + "D1": [ 3702 ], + "SD": [ 662 ], + "Z": [ 3705 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3703 ], + "D1": [ 3704 ], + "SD": [ 662 ], + "Z": [ 3706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3705 ], + "D1": [ 3706 ], + "SD": [ 3707 ], + "Z": [ 3684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3708 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3709 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3708 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3710 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3708 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3711 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3708 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3712 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3710 ], + "BLUT": [ 3709 ], + "C0": [ 3530 ], + "Z": [ 3713 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3712 ], + "BLUT": [ 3711 ], + "C0": [ 3530 ], + "Z": [ 3714 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3713 ], + "D1": [ 3714 ], + "SD": [ 666 ], + "Z": [ 3700 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3715 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3715 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3717 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3715 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3718 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3715 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3719 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3717 ], + "BLUT": [ 3716 ], + "C0": [ 3547 ], + "Z": [ 3720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3719 ], + "BLUT": [ 3718 ], + "C0": [ 3547 ], + "Z": [ 3721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3720 ], + "D1": [ 3721 ], + "SD": [ 666 ], + "Z": [ 3707 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39768": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3558 ], + "B": [ 3560 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3690 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39769": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3458 ], + "B": [ 714 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3691 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3722 ], + "B": [ 3723 ], + "C": [ 3724 ], + "D": [ 3542 ], + "Z": [ 3725 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3722 ], + "B": [ 3723 ], + "C": [ 3724 ], + "D": [ 3542 ], + "Z": [ 3726 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3722 ], + "B": [ 3723 ], + "C": [ 3724 ], + "D": [ 3542 ], + "Z": [ 3727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3722 ], + "B": [ 3723 ], + "C": [ 3724 ], + "D": [ 3542 ], + "Z": [ 3728 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3722 ], + "B": [ 3723 ], + "C": [ 3724 ], + "D": [ 3542 ], + "Z": [ 3729 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3722 ], + "B": [ 3723 ], + "C": [ 3724 ], + "D": [ 3542 ], + "Z": [ 3730 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3722 ], + "B": [ 3723 ], + "C": [ 3724 ], + "D": [ 3542 ], + "Z": [ 3731 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3722 ], + "B": [ 3723 ], + "C": [ 3724 ], + "D": [ 3542 ], + "Z": [ 3732 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3726 ], + "BLUT": [ 3725 ], + "C0": [ 3733 ], + "Z": [ 3734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3728 ], + "BLUT": [ 3727 ], + "C0": [ 3733 ], + "Z": [ 3735 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3730 ], + "BLUT": [ 3729 ], + "C0": [ 3733 ], + "Z": [ 3736 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3732 ], + "BLUT": [ 3731 ], + "C0": [ 3733 ], + "Z": [ 3737 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3734 ], + "D1": [ 3735 ], + "SD": [ 3738 ], + "Z": [ 3739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3736 ], + "D1": [ 3737 ], + "SD": [ 3738 ], + "Z": [ 3740 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3739 ], + "D1": [ 3740 ], + "SD": [ 662 ], + "Z": [ 3685 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3741 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3742 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3741 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3743 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3741 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3744 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3741 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3743 ], + "BLUT": [ 3742 ], + "C0": [ 668 ], + "Z": [ 3746 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3745 ], + "BLUT": [ 3744 ], + "C0": [ 668 ], + "Z": [ 3747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3746 ], + "D1": [ 3747 ], + "SD": [ 666 ], + "Z": [ 3733 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3748 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3749 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3748 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3750 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3748 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3751 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3748 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3752 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3750 ], + "BLUT": [ 3749 ], + "C0": [ 667 ], + "Z": [ 3753 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3752 ], + "BLUT": [ 3751 ], + "C0": [ 667 ], + "Z": [ 3754 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3753 ], + "D1": [ 3754 ], + "SD": [ 666 ], + "Z": [ 3738 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39773": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3509 ], + "B": [ 3510 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3724 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3755 ], + "B": [ 3756 ], + "C": [ 3757 ], + "D": [ 3533 ], + "Z": [ 3758 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3755 ], + "B": [ 3756 ], + "C": [ 3757 ], + "D": [ 3533 ], + "Z": [ 3759 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3755 ], + "B": [ 3756 ], + "C": [ 3757 ], + "D": [ 3533 ], + "Z": [ 3760 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3755 ], + "B": [ 3756 ], + "C": [ 3757 ], + "D": [ 3533 ], + "Z": [ 3761 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3755 ], + "B": [ 3756 ], + "C": [ 3757 ], + "D": [ 3533 ], + "Z": [ 3762 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3755 ], + "B": [ 3756 ], + "C": [ 3757 ], + "D": [ 3533 ], + "Z": [ 3763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3755 ], + "B": [ 3756 ], + "C": [ 3757 ], + "D": [ 3533 ], + "Z": [ 3764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3755 ], + "B": [ 3756 ], + "C": [ 3757 ], + "D": [ 3533 ], + "Z": [ 3765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3759 ], + "BLUT": [ 3758 ], + "C0": [ 3766 ], + "Z": [ 3767 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3761 ], + "BLUT": [ 3760 ], + "C0": [ 3766 ], + "Z": [ 3768 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3763 ], + "BLUT": [ 3762 ], + "C0": [ 3766 ], + "Z": [ 3769 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3765 ], + "BLUT": [ 3764 ], + "C0": [ 3766 ], + "Z": [ 3770 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3767 ], + "D1": [ 3768 ], + "SD": [ 3771 ], + "Z": [ 3772 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3769 ], + "D1": [ 3770 ], + "SD": [ 3771 ], + "Z": [ 3773 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3772 ], + "D1": [ 3773 ], + "SD": [ 662 ], + "Z": [ 3686 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3774 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3775 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3774 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3776 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3774 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3774 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3778 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3776 ], + "BLUT": [ 3775 ], + "C0": [ 670 ], + "Z": [ 3779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3778 ], + "BLUT": [ 3777 ], + "C0": [ 670 ], + "Z": [ 3780 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3779 ], + "D1": [ 3780 ], + "SD": [ 666 ], + "Z": [ 3766 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3781 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3782 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3781 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3783 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3781 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3784 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3781 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3783 ], + "BLUT": [ 3782 ], + "C0": [ 3786 ], + "Z": [ 3787 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3785 ], + "BLUT": [ 3784 ], + "C0": [ 3786 ], + "Z": [ 3788 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3787 ], + "D1": [ 3788 ], + "SD": [ 666 ], + "Z": [ 3771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39777": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3492 ], + "B": [ 3067 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3786 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39778": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3515 ], + "B": [ 3191 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3790 ], + "C": [ 3791 ], + "D": [ 3792 ], + "Z": [ 3793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3790 ], + "C": [ 3791 ], + "D": [ 3792 ], + "Z": [ 3794 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3790 ], + "C": [ 3791 ], + "D": [ 3792 ], + "Z": [ 3795 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3790 ], + "C": [ 3791 ], + "D": [ 3792 ], + "Z": [ 3796 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3790 ], + "C": [ 3791 ], + "D": [ 3792 ], + "Z": [ 3797 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3790 ], + "C": [ 3791 ], + "D": [ 3792 ], + "Z": [ 3798 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3790 ], + "C": [ 3791 ], + "D": [ 3792 ], + "Z": [ 3799 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3790 ], + "C": [ 3791 ], + "D": [ 3792 ], + "Z": [ 3800 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3794 ], + "BLUT": [ 3793 ], + "C0": [ 3801 ], + "Z": [ 3802 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3796 ], + "BLUT": [ 3795 ], + "C0": [ 3801 ], + "Z": [ 3803 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3798 ], + "BLUT": [ 3797 ], + "C0": [ 3801 ], + "Z": [ 3804 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3800 ], + "BLUT": [ 3799 ], + "C0": [ 3801 ], + "Z": [ 3805 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3802 ], + "D1": [ 3803 ], + "SD": [ 3806 ], + "Z": [ 3807 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3804 ], + "D1": [ 3805 ], + "SD": [ 3806 ], + "Z": [ 3808 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3807 ], + "D1": [ 3808 ], + "SD": [ 662 ], + "Z": [ 3687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3809 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3809 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3809 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3812 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3809 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3813 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3811 ], + "BLUT": [ 3810 ], + "C0": [ 679 ], + "Z": [ 3814 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3813 ], + "BLUT": [ 3812 ], + "C0": [ 679 ], + "Z": [ 3815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3814 ], + "D1": [ 3815 ], + "SD": [ 666 ], + "Z": [ 3801 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3816 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3816 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3818 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3816 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3819 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3816 ], + "B": [ 665 ], + "C": [ 663 ], + "D": [ 664 ], + "Z": [ 3820 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3818 ], + "BLUT": [ 3817 ], + "C0": [ 3821 ], + "Z": [ 3822 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3820 ], + "BLUT": [ 3819 ], + "C0": [ 3821 ], + "Z": [ 3823 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3822 ], + "D1": [ 3823 ], + "SD": [ 666 ], + "Z": [ 3806 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39782": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3488 ], + "B": [ 3065 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3821 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39783": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3487 ], + "B": [ 3076 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3791 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39784": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3462 ], + "B": [ 3081 ], + "C": [ 690 ], + "D": [ "0" ], + "Z": [ 3792 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39785": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3755 ], + "B": [ 3533 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 634 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39786.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3824 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3825 ], + "Z": [ 3826 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39786.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3824 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3825 ], + "Z": [ 3827 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39786.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3827 ], + "BLUT": [ 3826 ], + "C0": [ 637 ], + "Z": [ 612 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39787": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3756 ], + "B": [ 3757 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3825 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39788.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3828 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3829 ], + "Z": [ 3830 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39788.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3828 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3829 ], + "Z": [ 3831 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39788.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3831 ], + "BLUT": [ 3830 ], + "C0": [ 637 ], + "Z": [ 613 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39789": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 642 ], + "B": [ 644 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3829 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39790.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3832 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3833 ], + "Z": [ 3834 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39790.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3832 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3833 ], + "Z": [ 3835 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39790.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3835 ], + "BLUT": [ 3834 ], + "C0": [ 637 ], + "Z": [ 614 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39791": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3723 ], + "B": [ 3724 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3833 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39792.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3836 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3837 ], + "Z": [ 3838 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39792.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3836 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3837 ], + "Z": [ 3839 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39792.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3839 ], + "BLUT": [ 3838 ], + "C0": [ 637 ], + "Z": [ 623 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39793": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 643 ], + "B": [ 645 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3837 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3840 ], + "B": [ 3841 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3842 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3840 ], + "B": [ 3841 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3843 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 20479 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3840 ], + "B": [ 3841 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3844 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3840 ], + "B": [ 3841 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3845 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3840 ], + "B": [ 3841 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3840 ], + "B": [ 3841 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3847 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3840 ], + "B": [ 3841 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3848 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3840 ], + "B": [ 3841 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3849 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3843 ], + "BLUT": [ 3842 ], + "C0": [ 3850 ], + "Z": [ 3851 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3845 ], + "BLUT": [ 3844 ], + "C0": [ 3850 ], + "Z": [ 3852 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3847 ], + "BLUT": [ 3846 ], + "C0": [ 3850 ], + "Z": [ 3853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3849 ], + "BLUT": [ 3848 ], + "C0": [ 3850 ], + "Z": [ 3854 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3851 ], + "D1": [ 3852 ], + "SD": [ 3707 ], + "Z": [ 3855 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3853 ], + "D1": [ 3854 ], + "SD": [ 3707 ], + "Z": [ 3856 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3855 ], + "D1": [ 3856 ], + "SD": [ 637 ], + "Z": [ 630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39795": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3615 ], + "B": [ 3617 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3850 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39796.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3857 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3738 ], + "Z": [ 3858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39796.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3857 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3738 ], + "Z": [ 3859 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39796.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3859 ], + "BLUT": [ 3858 ], + "C0": [ 637 ], + "Z": [ 586 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3860 ], + "B": [ 3861 ], + "C": [ 3862 ], + "D": [ 3863 ], + "Z": [ 3864 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3860 ], + "B": [ 3861 ], + "C": [ 3862 ], + "D": [ 3863 ], + "Z": [ 3865 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3860 ], + "B": [ 3861 ], + "C": [ 3862 ], + "D": [ 3863 ], + "Z": [ 3866 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3860 ], + "B": [ 3861 ], + "C": [ 3862 ], + "D": [ 3863 ], + "Z": [ 3867 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3860 ], + "B": [ 3861 ], + "C": [ 3862 ], + "D": [ 3863 ], + "Z": [ 3868 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3860 ], + "B": [ 3861 ], + "C": [ 3862 ], + "D": [ 3863 ], + "Z": [ 3869 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3860 ], + "B": [ 3861 ], + "C": [ 3862 ], + "D": [ 3863 ], + "Z": [ 3870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3860 ], + "B": [ 3861 ], + "C": [ 3862 ], + "D": [ 3863 ], + "Z": [ 3871 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3865 ], + "BLUT": [ 3864 ], + "C0": [ 3872 ], + "Z": [ 3873 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3867 ], + "BLUT": [ 3866 ], + "C0": [ 3872 ], + "Z": [ 3874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3869 ], + "BLUT": [ 3868 ], + "C0": [ 3872 ], + "Z": [ 3875 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3871 ], + "BLUT": [ 3870 ], + "C0": [ 3872 ], + "Z": [ 3876 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3873 ], + "D1": [ 3874 ], + "SD": [ 3877 ], + "Z": [ 3878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3875 ], + "D1": [ 3876 ], + "SD": [ 3877 ], + "Z": [ 3879 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3878 ], + "D1": [ 3879 ], + "SD": [ 3880 ], + "Z": [ 604 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39798.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3881 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3882 ], + "Z": [ 3883 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39798.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3881 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3882 ], + "Z": [ 3884 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39798.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3884 ], + "BLUT": [ 3883 ], + "C0": [ 637 ], + "Z": [ 3860 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39799": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3578 ], + "B": [ 3581 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3882 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39800.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3885 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3766 ], + "Z": [ 3886 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39800.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3885 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3766 ], + "Z": [ 3887 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39800.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3887 ], + "BLUT": [ 3886 ], + "C0": [ 637 ], + "Z": [ 3861 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39801.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3888 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3700 ], + "Z": [ 3889 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39801.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3888 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3700 ], + "Z": [ 3890 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39801.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3890 ], + "BLUT": [ 3889 ], + "C0": [ 637 ], + "Z": [ 3862 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39802.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3891 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3892 ], + "Z": [ 3893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39802.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3891 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3892 ], + "Z": [ 3894 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39802.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3894 ], + "BLUT": [ 3893 ], + "C0": [ 637 ], + "Z": [ 3863 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39803": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3688 ], + "B": [ 3690 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3895 ], + "B": [ 3896 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3897 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3895 ], + "B": [ 3896 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3898 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3895 ], + "B": [ 3896 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3899 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36863 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3895 ], + "B": [ 3896 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3900 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3895 ], + "B": [ 3896 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3901 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3895 ], + "B": [ 3896 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3902 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3895 ], + "B": [ 3896 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3903 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3895 ], + "B": [ 3896 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3904 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3898 ], + "BLUT": [ 3897 ], + "C0": [ 3905 ], + "Z": [ 3906 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3900 ], + "BLUT": [ 3899 ], + "C0": [ 3905 ], + "Z": [ 3907 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3902 ], + "BLUT": [ 3901 ], + "C0": [ 3905 ], + "Z": [ 3908 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3904 ], + "BLUT": [ 3903 ], + "C0": [ 3905 ], + "Z": [ 3909 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3906 ], + "D1": [ 3907 ], + "SD": [ 3633 ], + "Z": [ 3910 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3908 ], + "D1": [ 3909 ], + "SD": [ 3633 ], + "Z": [ 3911 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3910 ], + "D1": [ 3911 ], + "SD": [ 637 ], + "Z": [ 3877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39805": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3614 ], + "B": [ 3616 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3905 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39806.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3912 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3913 ], + "Z": [ 3914 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39806.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3912 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3913 ], + "Z": [ 3915 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39806.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3915 ], + "BLUT": [ 3914 ], + "C0": [ 637 ], + "Z": [ 3872 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39807": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3791 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3913 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39808.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 240 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 632 ], + "C": [ 3916 ], + "D": [ 637 ], + "Z": [ 3917 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39808.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65528 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 632 ], + "C": [ 3916 ], + "D": [ 637 ], + "Z": [ 3918 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39808.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3918 ], + "BLUT": [ 3917 ], + "C0": [ 3919 ], + "Z": [ 3880 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39809": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3689 ], + "B": [ 3691 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3916 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3920 ], + "B": [ 3921 ], + "C": [ 3922 ], + "D": [ 3923 ], + "Z": [ 3924 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3920 ], + "B": [ 3921 ], + "C": [ 3922 ], + "D": [ 3923 ], + "Z": [ 3925 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3920 ], + "B": [ 3921 ], + "C": [ 3922 ], + "D": [ 3923 ], + "Z": [ 3926 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3920 ], + "B": [ 3921 ], + "C": [ 3922 ], + "D": [ 3923 ], + "Z": [ 3927 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3920 ], + "B": [ 3921 ], + "C": [ 3922 ], + "D": [ 3923 ], + "Z": [ 3928 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3920 ], + "B": [ 3921 ], + "C": [ 3922 ], + "D": [ 3923 ], + "Z": [ 3929 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3920 ], + "B": [ 3921 ], + "C": [ 3922 ], + "D": [ 3923 ], + "Z": [ 3930 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3920 ], + "B": [ 3921 ], + "C": [ 3922 ], + "D": [ 3923 ], + "Z": [ 3931 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3925 ], + "BLUT": [ 3924 ], + "C0": [ 3932 ], + "Z": [ 3933 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3927 ], + "BLUT": [ 3926 ], + "C0": [ 3932 ], + "Z": [ 3934 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3929 ], + "BLUT": [ 3928 ], + "C0": [ 3932 ], + "Z": [ 3935 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3931 ], + "BLUT": [ 3930 ], + "C0": [ 3932 ], + "Z": [ 3936 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3933 ], + "D1": [ 3934 ], + "SD": [ 3937 ], + "Z": [ 3938 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3935 ], + "D1": [ 3936 ], + "SD": [ 3937 ], + "Z": [ 3939 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3938 ], + "D1": [ 3939 ], + "SD": [ 3940 ], + "Z": [ 605 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39811.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3941 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3942 ], + "Z": [ 3943 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39811.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3941 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3942 ], + "Z": [ 3944 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39811.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3944 ], + "BLUT": [ 3943 ], + "C0": [ 637 ], + "Z": [ 3920 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39812": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3650 ], + "B": [ 3652 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3942 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39813.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3945 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3771 ], + "Z": [ 3946 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39813.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3945 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3771 ], + "Z": [ 3947 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39813.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3947 ], + "BLUT": [ 3946 ], + "C0": [ 637 ], + "Z": [ 3921 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3948 ], + "B": [ 3949 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3950 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3948 ], + "B": [ 3949 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3951 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3948 ], + "B": [ 3949 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3952 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3948 ], + "B": [ 3949 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3953 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3948 ], + "B": [ 3949 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3954 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3948 ], + "B": [ 3949 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3955 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3948 ], + "B": [ 3949 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3956 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3948 ], + "B": [ 3949 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 3957 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3951 ], + "BLUT": [ 3950 ], + "C0": [ 3958 ], + "Z": [ 3959 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3953 ], + "BLUT": [ 3952 ], + "C0": [ 3958 ], + "Z": [ 3960 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3955 ], + "BLUT": [ 3954 ], + "C0": [ 3958 ], + "Z": [ 3961 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3957 ], + "BLUT": [ 3956 ], + "C0": [ 3958 ], + "Z": [ 3962 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3959 ], + "D1": [ 3960 ], + "SD": [ 3662 ], + "Z": [ 3963 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3961 ], + "D1": [ 3962 ], + "SD": [ 3662 ], + "Z": [ 3964 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3963 ], + "D1": [ 3964 ], + "SD": [ 637 ], + "Z": [ 3940 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39815": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3790 ], + "B": [ 3792 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3958 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39816.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3965 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3595 ], + "Z": [ 3966 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39816.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3965 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3595 ], + "Z": [ 3967 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39816.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3967 ], + "BLUT": [ 3966 ], + "C0": [ 637 ], + "Z": [ 3922 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39817.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3968 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 654 ], + "Z": [ 3969 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39817.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3968 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 654 ], + "Z": [ 3970 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39817.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3970 ], + "BLUT": [ 3969 ], + "C0": [ 637 ], + "Z": [ 3923 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39818.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3971 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3733 ], + "Z": [ 3972 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39818.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3971 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3733 ], + "Z": [ 3973 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39818.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3973 ], + "BLUT": [ 3972 ], + "C0": [ 637 ], + "Z": [ 3932 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39819.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3974 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3590 ], + "Z": [ 3975 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39819.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3974 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3590 ], + "Z": [ 3976 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39819.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3976 ], + "BLUT": [ 3975 ], + "C0": [ 637 ], + "Z": [ 3937 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3977 ], + "B": [ 3978 ], + "C": [ 3979 ], + "D": [ 3980 ], + "Z": [ 3981 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3977 ], + "B": [ 3978 ], + "C": [ 3979 ], + "D": [ 3980 ], + "Z": [ 3982 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3977 ], + "B": [ 3978 ], + "C": [ 3979 ], + "D": [ 3980 ], + "Z": [ 3983 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3977 ], + "B": [ 3978 ], + "C": [ 3979 ], + "D": [ 3980 ], + "Z": [ 3984 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3977 ], + "B": [ 3978 ], + "C": [ 3979 ], + "D": [ 3980 ], + "Z": [ 3985 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3977 ], + "B": [ 3978 ], + "C": [ 3979 ], + "D": [ 3980 ], + "Z": [ 3986 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3977 ], + "B": [ 3978 ], + "C": [ 3979 ], + "D": [ 3980 ], + "Z": [ 3987 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3977 ], + "B": [ 3978 ], + "C": [ 3979 ], + "D": [ 3980 ], + "Z": [ 3988 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3982 ], + "BLUT": [ 3981 ], + "C0": [ 3989 ], + "Z": [ 3990 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3984 ], + "BLUT": [ 3983 ], + "C0": [ 3989 ], + "Z": [ 3991 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3986 ], + "BLUT": [ 3985 ], + "C0": [ 3989 ], + "Z": [ 3992 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3988 ], + "BLUT": [ 3987 ], + "C0": [ 3989 ], + "Z": [ 3993 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3990 ], + "D1": [ 3991 ], + "SD": [ 3994 ], + "Z": [ 3995 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3992 ], + "D1": [ 3993 ], + "SD": [ 3994 ], + "Z": [ 3996 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 3995 ], + "D1": [ 3996 ], + "SD": [ 3997 ], + "Z": [ 606 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39821.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3998 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3999 ], + "Z": [ 4000 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39821.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3998 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3999 ], + "Z": [ 4001 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39821.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4001 ], + "BLUT": [ 4000 ], + "C0": [ 637 ], + "Z": [ 3977 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39822": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3651 ], + "B": [ 3653 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 3999 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39823.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4002 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3626 ], + "Z": [ 4003 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39823.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4002 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3626 ], + "Z": [ 4004 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39823.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4004 ], + "BLUT": [ 4003 ], + "C0": [ 637 ], + "Z": [ 3978 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4005 ], + "B": [ 4006 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 4007 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4005 ], + "B": [ 4006 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 4008 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4005 ], + "B": [ 4006 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 4009 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4005 ], + "B": [ 4006 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 4010 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4005 ], + "B": [ 4006 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 4011 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4005 ], + "B": [ 4006 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 4012 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4005 ], + "B": [ 4006 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 4013 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4005 ], + "B": [ 4006 ], + "C": [ 632 ], + "D": [ 633 ], + "Z": [ 4014 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4008 ], + "BLUT": [ 4007 ], + "C0": [ 4015 ], + "Z": [ 4016 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4010 ], + "BLUT": [ 4009 ], + "C0": [ 4015 ], + "Z": [ 4017 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4012 ], + "BLUT": [ 4011 ], + "C0": [ 4015 ], + "Z": [ 4018 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4014 ], + "BLUT": [ 4013 ], + "C0": [ 4015 ], + "Z": [ 4019 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4016 ], + "D1": [ 4017 ], + "SD": [ 3667 ], + "Z": [ 4020 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4018 ], + "D1": [ 4019 ], + "SD": [ 3667 ], + "Z": [ 4021 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4020 ], + "D1": [ 4021 ], + "SD": [ 637 ], + "Z": [ 3997 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39825": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3722 ], + "B": [ 3542 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 4015 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39826.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4022 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 4023 ], + "Z": [ 4024 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39826.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4022 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 4023 ], + "Z": [ 4025 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39826.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4025 ], + "BLUT": [ 4024 ], + "C0": [ 637 ], + "Z": [ 3979 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39827": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3579 ], + "B": [ 3580 ], + "C": [ 662 ], + "D": [ "0" ], + "Z": [ 4023 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39828.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4026 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3801 ], + "Z": [ 4027 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39828.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4026 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3801 ], + "Z": [ 4028 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39828.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4028 ], + "BLUT": [ 4027 ], + "C0": [ 637 ], + "Z": [ 3980 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39829.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4029 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 659 ], + "Z": [ 4030 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39829.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4029 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 659 ], + "Z": [ 4031 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39829.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4031 ], + "BLUT": [ 4030 ], + "C0": [ 637 ], + "Z": [ 3989 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39830.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4032 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3806 ], + "Z": [ 4033 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39830.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4032 ], + "B": [ 632 ], + "C": [ 633 ], + "D": [ 3806 ], + "Z": [ 4034 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39830.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4034 ], + "BLUT": [ 4033 ], + "C0": [ 637 ], + "Z": [ 3994 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4035 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4036 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4035 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4037 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4035 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4038 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4035 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4039 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4035 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4040 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4035 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4041 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4035 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4042 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4035 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4043 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4037 ], + "BLUT": [ 4036 ], + "C0": [ 606 ], + "Z": [ 4044 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4039 ], + "BLUT": [ 4038 ], + "C0": [ 606 ], + "Z": [ 4045 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4041 ], + "BLUT": [ 4040 ], + "C0": [ 606 ], + "Z": [ 4046 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4043 ], + "BLUT": [ 4042 ], + "C0": [ 606 ], + "Z": [ 4047 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4044 ], + "D1": [ 4045 ], + "SD": [ 614 ], + "Z": [ 4048 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4046 ], + "D1": [ 4047 ], + "SD": [ 614 ], + "Z": [ 4049 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4048 ], + "D1": [ 4049 ], + "SD": [ 610 ], + "Z": [ 595 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4050 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4051 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4050 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4052 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4050 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4053 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4050 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4054 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4050 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4055 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4050 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4056 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4050 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4057 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4050 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4058 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4052 ], + "BLUT": [ 4051 ], + "C0": [ 606 ], + "Z": [ 4059 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4054 ], + "BLUT": [ 4053 ], + "C0": [ 606 ], + "Z": [ 4060 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4056 ], + "BLUT": [ 4055 ], + "C0": [ 606 ], + "Z": [ 4061 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4058 ], + "BLUT": [ 4057 ], + "C0": [ 606 ], + "Z": [ 4062 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4059 ], + "D1": [ 4060 ], + "SD": [ 3872 ], + "Z": [ 4063 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4061 ], + "D1": [ 4062 ], + "SD": [ 3872 ], + "Z": [ 4064 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4063 ], + "D1": [ 4064 ], + "SD": [ 610 ], + "Z": [ 600 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39833": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3948 ], + "B": [ 3958 ], + "C": [ 4065 ], + "D": [ "0" ], + "Z": [ 585 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39834": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 632 ], + "C": [ 637 ], + "D": [ "0" ], + "Z": [ 4065 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4066 ], + "B": [ 4067 ], + "C": [ 4068 ], + "D": [ 4069 ], + "Z": [ 4070 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4066 ], + "B": [ 4067 ], + "C": [ 4068 ], + "D": [ 4069 ], + "Z": [ 4071 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4066 ], + "B": [ 4067 ], + "C": [ 4068 ], + "D": [ 4069 ], + "Z": [ 4072 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4066 ], + "B": [ 4067 ], + "C": [ 4068 ], + "D": [ 4069 ], + "Z": [ 4073 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4066 ], + "B": [ 4067 ], + "C": [ 4068 ], + "D": [ 4069 ], + "Z": [ 4074 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4066 ], + "B": [ 4067 ], + "C": [ 4068 ], + "D": [ 4069 ], + "Z": [ 4075 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61440 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4066 ], + "B": [ 4067 ], + "C": [ 4068 ], + "D": [ 4069 ], + "Z": [ 4076 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4066 ], + "B": [ 4067 ], + "C": [ 4068 ], + "D": [ 4069 ], + "Z": [ 4077 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4071 ], + "BLUT": [ 4070 ], + "C0": [ 4078 ], + "Z": [ 4079 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4073 ], + "BLUT": [ 4072 ], + "C0": [ 4078 ], + "Z": [ 4080 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4075 ], + "BLUT": [ 4074 ], + "C0": [ 4078 ], + "Z": [ 4081 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4077 ], + "BLUT": [ 4076 ], + "C0": [ 4078 ], + "Z": [ 4082 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4079 ], + "D1": [ 4080 ], + "SD": [ 603 ], + "Z": [ 4083 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4081 ], + "D1": [ 4082 ], + "SD": [ 603 ], + "Z": [ 4084 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4083 ], + "D1": [ 4084 ], + "SD": [ 4085 ], + "Z": [ 580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4086 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4087 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4086 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4088 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4086 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4089 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4086 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4090 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4086 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4091 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4086 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4092 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4086 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4093 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4086 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4094 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4088 ], + "BLUT": [ 4087 ], + "C0": [ 606 ], + "Z": [ 4095 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4090 ], + "BLUT": [ 4089 ], + "C0": [ 606 ], + "Z": [ 4096 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4092 ], + "BLUT": [ 4091 ], + "C0": [ 606 ], + "Z": [ 4097 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4094 ], + "BLUT": [ 4093 ], + "C0": [ 606 ], + "Z": [ 4098 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4095 ], + "D1": [ 4096 ], + "SD": [ 3861 ], + "Z": [ 4099 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4097 ], + "D1": [ 4098 ], + "SD": [ 3861 ], + "Z": [ 4100 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4099 ], + "D1": [ 4100 ], + "SD": [ 610 ], + "Z": [ 4085 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4101 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4102 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4101 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4101 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4101 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4105 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4101 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4101 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4107 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4101 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4101 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4109 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4103 ], + "BLUT": [ 4102 ], + "C0": [ 606 ], + "Z": [ 4110 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4105 ], + "BLUT": [ 4104 ], + "C0": [ 606 ], + "Z": [ 4111 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4107 ], + "BLUT": [ 4106 ], + "C0": [ 606 ], + "Z": [ 4112 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4109 ], + "BLUT": [ 4108 ], + "C0": [ 606 ], + "Z": [ 4113 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4110 ], + "D1": [ 4111 ], + "SD": [ 3979 ], + "Z": [ 4114 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4112 ], + "D1": [ 4113 ], + "SD": [ 3979 ], + "Z": [ 4115 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4114 ], + "D1": [ 4115 ], + "SD": [ 610 ], + "Z": [ 4078 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39838": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3895 ], + "B": [ 3905 ], + "C": [ 4065 ], + "D": [ "0" ], + "Z": [ 4068 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39839": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3841 ], + "B": [ 3707 ], + "C": [ 4065 ], + "D": [ "0" ], + "Z": [ 4069 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4116 ], + "B": [ 4117 ], + "C": [ 611 ], + "D": [ 612 ], + "Z": [ 4118 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4116 ], + "B": [ 4117 ], + "C": [ 611 ], + "D": [ 612 ], + "Z": [ 4119 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4116 ], + "B": [ 4117 ], + "C": [ 611 ], + "D": [ 612 ], + "Z": [ 4120 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4116 ], + "B": [ 4117 ], + "C": [ 611 ], + "D": [ 612 ], + "Z": [ 4121 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4116 ], + "B": [ 4117 ], + "C": [ 611 ], + "D": [ 612 ], + "Z": [ 4122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4116 ], + "B": [ 4117 ], + "C": [ 611 ], + "D": [ 612 ], + "Z": [ 4123 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4116 ], + "B": [ 4117 ], + "C": [ 611 ], + "D": [ 612 ], + "Z": [ 4124 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4116 ], + "B": [ 4117 ], + "C": [ 611 ], + "D": [ 612 ], + "Z": [ 4125 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4119 ], + "BLUT": [ 4118 ], + "C0": [ 4126 ], + "Z": [ 4127 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4121 ], + "BLUT": [ 4120 ], + "C0": [ 4126 ], + "Z": [ 4128 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4123 ], + "BLUT": [ 4122 ], + "C0": [ 4126 ], + "Z": [ 4129 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4125 ], + "BLUT": [ 4124 ], + "C0": [ 4126 ], + "Z": [ 4130 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4127 ], + "D1": [ 4128 ], + "SD": [ 4131 ], + "Z": [ 4132 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4129 ], + "D1": [ 4130 ], + "SD": [ 4131 ], + "Z": [ 4133 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4132 ], + "D1": [ 4133 ], + "SD": [ 603 ], + "Z": [ 581 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4134 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4134 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4136 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4134 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4137 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4134 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4138 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4134 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4134 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4140 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4134 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4141 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4134 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4136 ], + "BLUT": [ 4135 ], + "C0": [ 606 ], + "Z": [ 4143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4138 ], + "BLUT": [ 4137 ], + "C0": [ 606 ], + "Z": [ 4144 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4140 ], + "BLUT": [ 4139 ], + "C0": [ 606 ], + "Z": [ 4145 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4142 ], + "BLUT": [ 4141 ], + "C0": [ 606 ], + "Z": [ 4146 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4143 ], + "D1": [ 4144 ], + "SD": [ 4147 ], + "Z": [ 4148 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4145 ], + "D1": [ 4146 ], + "SD": [ 4147 ], + "Z": [ 4149 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4148 ], + "D1": [ 4149 ], + "SD": [ 610 ], + "Z": [ 4126 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39842": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3949 ], + "B": [ 3662 ], + "C": [ 4065 ], + "D": [ "0" ], + "Z": [ 4147 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4150 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4151 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4150 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4152 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4150 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4153 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4150 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4154 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4150 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4150 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4156 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4150 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4157 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4150 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4158 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4152 ], + "BLUT": [ 4151 ], + "C0": [ 606 ], + "Z": [ 4159 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4154 ], + "BLUT": [ 4153 ], + "C0": [ 606 ], + "Z": [ 4160 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4156 ], + "BLUT": [ 4155 ], + "C0": [ 606 ], + "Z": [ 4161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4158 ], + "BLUT": [ 4157 ], + "C0": [ 606 ], + "Z": [ 4162 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4159 ], + "D1": [ 4160 ], + "SD": [ 3860 ], + "Z": [ 4163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4161 ], + "D1": [ 4162 ], + "SD": [ 3860 ], + "Z": [ 4164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4163 ], + "D1": [ 4164 ], + "SD": [ 610 ], + "Z": [ 4131 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4165 ], + "B": [ 4166 ], + "C": [ 4167 ], + "D": [ 4168 ], + "Z": [ 4169 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4165 ], + "B": [ 4166 ], + "C": [ 4167 ], + "D": [ 4168 ], + "Z": [ 4170 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4165 ], + "B": [ 4166 ], + "C": [ 4167 ], + "D": [ 4168 ], + "Z": [ 4171 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4165 ], + "B": [ 4166 ], + "C": [ 4167 ], + "D": [ 4168 ], + "Z": [ 4172 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4165 ], + "B": [ 4166 ], + "C": [ 4167 ], + "D": [ 4168 ], + "Z": [ 4173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4165 ], + "B": [ 4166 ], + "C": [ 4167 ], + "D": [ 4168 ], + "Z": [ 4174 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4165 ], + "B": [ 4166 ], + "C": [ 4167 ], + "D": [ 4168 ], + "Z": [ 4175 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4165 ], + "B": [ 4166 ], + "C": [ 4167 ], + "D": [ 4168 ], + "Z": [ 4176 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4170 ], + "BLUT": [ 4169 ], + "C0": [ 4177 ], + "Z": [ 4178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4172 ], + "BLUT": [ 4171 ], + "C0": [ 4177 ], + "Z": [ 4179 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4174 ], + "BLUT": [ 4173 ], + "C0": [ 4177 ], + "Z": [ 4180 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4176 ], + "BLUT": [ 4175 ], + "C0": [ 4177 ], + "Z": [ 4181 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4178 ], + "D1": [ 4179 ], + "SD": [ 4182 ], + "Z": [ 4183 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4180 ], + "D1": [ 4181 ], + "SD": [ 4182 ], + "Z": [ 4184 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4183 ], + "D1": [ 4184 ], + "SD": [ 603 ], + "Z": [ 582 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4185 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4186 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4189 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4190 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4192 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4186 ], + "BLUT": [ 4185 ], + "C0": [ 3980 ], + "Z": [ 4193 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4188 ], + "BLUT": [ 4187 ], + "C0": [ 3980 ], + "Z": [ 4194 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4190 ], + "BLUT": [ 4189 ], + "C0": [ 3980 ], + "Z": [ 4195 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4192 ], + "BLUT": [ 4191 ], + "C0": [ 3980 ], + "Z": [ 4196 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4193 ], + "D1": [ 4194 ], + "SD": [ 610 ], + "Z": [ 4197 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4195 ], + "D1": [ 4196 ], + "SD": [ 610 ], + "Z": [ 4198 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4197 ], + "D1": [ 4198 ], + "SD": [ 4199 ], + "Z": [ 4177 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4200 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4201 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4202 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4203 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4204 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4205 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4206 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 604 ], + "B": [ 605 ], + "C": [ 606 ], + "D": [ 607 ], + "Z": [ 4207 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4201 ], + "BLUT": [ 4200 ], + "C0": [ 3989 ], + "Z": [ 4208 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4203 ], + "BLUT": [ 4202 ], + "C0": [ 3989 ], + "Z": [ 4209 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4205 ], + "BLUT": [ 4204 ], + "C0": [ 3989 ], + "Z": [ 4210 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4207 ], + "BLUT": [ 4206 ], + "C0": [ 3989 ], + "Z": [ 4211 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4208 ], + "D1": [ 4209 ], + "SD": [ 610 ], + "Z": [ 4212 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4210 ], + "D1": [ 4211 ], + "SD": [ 610 ], + "Z": [ 4213 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4212 ], + "D1": [ 4213 ], + "SD": [ 4214 ], + "Z": [ 4182 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39847": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4006 ], + "B": [ 4015 ], + "C": [ 4065 ], + "D": [ "0" ], + "Z": [ 4167 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39848": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4005 ], + "B": [ 3667 ], + "C": [ 4065 ], + "D": [ "0" ], + "Z": [ 4168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39849": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4215 ], + "B": [ 4216 ], + "C": [ 4217 ], + "D": [ 4218 ], + "Z": [ 574 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4219 ], + "B": [ 4220 ], + "C": [ 3922 ], + "D": [ 3937 ], + "Z": [ 4221 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4219 ], + "B": [ 4220 ], + "C": [ 3922 ], + "D": [ 3937 ], + "Z": [ 4222 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4219 ], + "B": [ 4220 ], + "C": [ 3922 ], + "D": [ 3937 ], + "Z": [ 4223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4219 ], + "B": [ 4220 ], + "C": [ 3922 ], + "D": [ 3937 ], + "Z": [ 4224 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4219 ], + "B": [ 4220 ], + "C": [ 3922 ], + "D": [ 3937 ], + "Z": [ 4225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4219 ], + "B": [ 4220 ], + "C": [ 3922 ], + "D": [ 3937 ], + "Z": [ 4226 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4219 ], + "B": [ 4220 ], + "C": [ 3922 ], + "D": [ 3937 ], + "Z": [ 4227 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4219 ], + "B": [ 4220 ], + "C": [ 3922 ], + "D": [ 3937 ], + "Z": [ 4228 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4222 ], + "BLUT": [ 4221 ], + "C0": [ 4229 ], + "Z": [ 4230 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4224 ], + "BLUT": [ 4223 ], + "C0": [ 4229 ], + "Z": [ 4231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4226 ], + "BLUT": [ 4225 ], + "C0": [ 4229 ], + "Z": [ 4232 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4228 ], + "BLUT": [ 4227 ], + "C0": [ 4229 ], + "Z": [ 4233 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4230 ], + "D1": [ 4231 ], + "SD": [ 4234 ], + "Z": [ 4235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4232 ], + "D1": [ 4233 ], + "SD": [ 4234 ], + "Z": [ 4236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4235 ], + "D1": [ 4236 ], + "SD": [ 603 ], + "Z": [ 4215 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4237 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4238 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4237 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4239 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4237 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4240 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4237 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4241 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4237 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4242 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4237 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4237 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4244 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4237 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4245 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4239 ], + "BLUT": [ 4238 ], + "C0": [ 606 ], + "Z": [ 4246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4241 ], + "BLUT": [ 4240 ], + "C0": [ 606 ], + "Z": [ 4247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4243 ], + "BLUT": [ 4242 ], + "C0": [ 606 ], + "Z": [ 4248 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4245 ], + "BLUT": [ 4244 ], + "C0": [ 606 ], + "Z": [ 4249 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4246 ], + "D1": [ 4247 ], + "SD": [ 3920 ], + "Z": [ 4250 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4248 ], + "D1": [ 4249 ], + "SD": [ 3920 ], + "Z": [ 4251 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4250 ], + "D1": [ 4251 ], + "SD": [ 610 ], + "Z": [ 4229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4252 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4253 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4252 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4254 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4252 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4255 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4252 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4256 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4252 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4257 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4252 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4258 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4252 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4259 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4252 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4260 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4254 ], + "BLUT": [ 4253 ], + "C0": [ 606 ], + "Z": [ 4261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4256 ], + "BLUT": [ 4255 ], + "C0": [ 606 ], + "Z": [ 4262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4258 ], + "BLUT": [ 4257 ], + "C0": [ 606 ], + "Z": [ 4263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4260 ], + "BLUT": [ 4259 ], + "C0": [ 606 ], + "Z": [ 4264 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4261 ], + "D1": [ 4262 ], + "SD": [ 3932 ], + "Z": [ 4265 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4263 ], + "D1": [ 4264 ], + "SD": [ 3932 ], + "Z": [ 4266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4265 ], + "D1": [ 4266 ], + "SD": [ 610 ], + "Z": [ 4234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4267 ], + "B": [ 4268 ], + "C": [ 3977 ], + "D": [ 3862 ], + "Z": [ 4269 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4267 ], + "B": [ 4268 ], + "C": [ 3977 ], + "D": [ 3862 ], + "Z": [ 4270 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4267 ], + "B": [ 4268 ], + "C": [ 3977 ], + "D": [ 3862 ], + "Z": [ 4271 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4267 ], + "B": [ 4268 ], + "C": [ 3977 ], + "D": [ 3862 ], + "Z": [ 4272 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4267 ], + "B": [ 4268 ], + "C": [ 3977 ], + "D": [ 3862 ], + "Z": [ 4273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4267 ], + "B": [ 4268 ], + "C": [ 3977 ], + "D": [ 3862 ], + "Z": [ 4274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4267 ], + "B": [ 4268 ], + "C": [ 3977 ], + "D": [ 3862 ], + "Z": [ 4275 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4267 ], + "B": [ 4268 ], + "C": [ 3977 ], + "D": [ 3862 ], + "Z": [ 4276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4270 ], + "BLUT": [ 4269 ], + "C0": [ 4277 ], + "Z": [ 4278 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4272 ], + "BLUT": [ 4271 ], + "C0": [ 4277 ], + "Z": [ 4279 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4274 ], + "BLUT": [ 4273 ], + "C0": [ 4277 ], + "Z": [ 4280 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4276 ], + "BLUT": [ 4275 ], + "C0": [ 4277 ], + "Z": [ 4281 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4278 ], + "D1": [ 4279 ], + "SD": [ 4282 ], + "Z": [ 4283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4280 ], + "D1": [ 4281 ], + "SD": [ 4282 ], + "Z": [ 4284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4283 ], + "D1": [ 4284 ], + "SD": [ 603 ], + "Z": [ 4216 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4285 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4286 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4285 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4287 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4285 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4288 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4285 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4289 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4285 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4290 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4285 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4291 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4285 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4285 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4293 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4287 ], + "BLUT": [ 4286 ], + "C0": [ 606 ], + "Z": [ 4294 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4289 ], + "BLUT": [ 4288 ], + "C0": [ 606 ], + "Z": [ 4295 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4291 ], + "BLUT": [ 4290 ], + "C0": [ 606 ], + "Z": [ 4296 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4293 ], + "BLUT": [ 4292 ], + "C0": [ 606 ], + "Z": [ 4297 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4294 ], + "D1": [ 4295 ], + "SD": [ 623 ], + "Z": [ 4298 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4296 ], + "D1": [ 4297 ], + "SD": [ 623 ], + "Z": [ 4299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4298 ], + "D1": [ 4299 ], + "SD": [ 610 ], + "Z": [ 4277 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4300 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4301 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4300 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4302 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4300 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4303 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4300 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4300 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4305 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4300 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4306 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4300 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4307 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4300 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4308 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4302 ], + "BLUT": [ 4301 ], + "C0": [ 606 ], + "Z": [ 4309 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4304 ], + "BLUT": [ 4303 ], + "C0": [ 606 ], + "Z": [ 4310 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4306 ], + "BLUT": [ 4305 ], + "C0": [ 606 ], + "Z": [ 4311 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4308 ], + "BLUT": [ 4307 ], + "C0": [ 606 ], + "Z": [ 4312 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4309 ], + "D1": [ 4310 ], + "SD": [ 613 ], + "Z": [ 4313 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4311 ], + "D1": [ 4312 ], + "SD": [ 613 ], + "Z": [ 4314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4313 ], + "D1": [ 4314 ], + "SD": [ 610 ], + "Z": [ 4282 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4315 ], + "B": [ 4316 ], + "C": [ 4317 ], + "D": [ 3978 ], + "Z": [ 4318 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4315 ], + "B": [ 4316 ], + "C": [ 4317 ], + "D": [ 3978 ], + "Z": [ 4319 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4315 ], + "B": [ 4316 ], + "C": [ 4317 ], + "D": [ 3978 ], + "Z": [ 4320 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4315 ], + "B": [ 4316 ], + "C": [ 4317 ], + "D": [ 3978 ], + "Z": [ 4321 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4315 ], + "B": [ 4316 ], + "C": [ 4317 ], + "D": [ 3978 ], + "Z": [ 4322 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4315 ], + "B": [ 4316 ], + "C": [ 4317 ], + "D": [ 3978 ], + "Z": [ 4323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4315 ], + "B": [ 4316 ], + "C": [ 4317 ], + "D": [ 3978 ], + "Z": [ 4324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4315 ], + "B": [ 4316 ], + "C": [ 4317 ], + "D": [ 3978 ], + "Z": [ 4325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4319 ], + "BLUT": [ 4318 ], + "C0": [ 4326 ], + "Z": [ 4327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4321 ], + "BLUT": [ 4320 ], + "C0": [ 4326 ], + "Z": [ 4328 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4323 ], + "BLUT": [ 4322 ], + "C0": [ 4326 ], + "Z": [ 4329 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4325 ], + "BLUT": [ 4324 ], + "C0": [ 4326 ], + "Z": [ 4330 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4327 ], + "D1": [ 4328 ], + "SD": [ 4331 ], + "Z": [ 4332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4329 ], + "D1": [ 4330 ], + "SD": [ 4331 ], + "Z": [ 4333 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4332 ], + "D1": [ 4333 ], + "SD": [ 603 ], + "Z": [ 4217 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4334 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4335 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4334 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4336 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4334 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4337 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4334 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4338 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4334 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4339 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4334 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4340 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4334 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4334 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4342 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4336 ], + "BLUT": [ 4335 ], + "C0": [ 606 ], + "Z": [ 4343 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4338 ], + "BLUT": [ 4337 ], + "C0": [ 606 ], + "Z": [ 4344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4340 ], + "BLUT": [ 4339 ], + "C0": [ 606 ], + "Z": [ 4345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4342 ], + "BLUT": [ 4341 ], + "C0": [ 606 ], + "Z": [ 4346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4343 ], + "D1": [ 4344 ], + "SD": [ 3863 ], + "Z": [ 4347 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4345 ], + "D1": [ 4346 ], + "SD": [ 3863 ], + "Z": [ 4348 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4347 ], + "D1": [ 4348 ], + "SD": [ 610 ], + "Z": [ 4326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4349 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4349 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4351 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4349 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4352 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4349 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4353 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4349 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4354 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4349 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4355 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4349 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4356 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4349 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4357 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4351 ], + "BLUT": [ 4350 ], + "C0": [ 606 ], + "Z": [ 4358 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4353 ], + "BLUT": [ 4352 ], + "C0": [ 606 ], + "Z": [ 4359 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4355 ], + "BLUT": [ 4354 ], + "C0": [ 606 ], + "Z": [ 4360 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4357 ], + "BLUT": [ 4356 ], + "C0": [ 606 ], + "Z": [ 4361 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4358 ], + "D1": [ 4359 ], + "SD": [ 4362 ], + "Z": [ 4363 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4360 ], + "D1": [ 4361 ], + "SD": [ 4362 ], + "Z": [ 4364 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4363 ], + "D1": [ 4364 ], + "SD": [ 610 ], + "Z": [ 4331 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39859": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3840 ], + "B": [ 3850 ], + "C": [ 4065 ], + "D": [ "0" ], + "Z": [ 4362 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39860": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3896 ], + "B": [ 3633 ], + "C": [ 4065 ], + "D": [ "0" ], + "Z": [ 4317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4365 ], + "B": [ 4366 ], + "C": [ 3921 ], + "D": [ 3994 ], + "Z": [ 4367 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4365 ], + "B": [ 4366 ], + "C": [ 3921 ], + "D": [ 3994 ], + "Z": [ 4368 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4365 ], + "B": [ 4366 ], + "C": [ 3921 ], + "D": [ 3994 ], + "Z": [ 4369 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4365 ], + "B": [ 4366 ], + "C": [ 3921 ], + "D": [ 3994 ], + "Z": [ 4370 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4365 ], + "B": [ 4366 ], + "C": [ 3921 ], + "D": [ 3994 ], + "Z": [ 4371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4365 ], + "B": [ 4366 ], + "C": [ 3921 ], + "D": [ 3994 ], + "Z": [ 4372 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4365 ], + "B": [ 4366 ], + "C": [ 3921 ], + "D": [ 3994 ], + "Z": [ 4373 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4365 ], + "B": [ 4366 ], + "C": [ 3921 ], + "D": [ 3994 ], + "Z": [ 4374 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4368 ], + "BLUT": [ 4367 ], + "C0": [ 4375 ], + "Z": [ 4376 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4370 ], + "BLUT": [ 4369 ], + "C0": [ 4375 ], + "Z": [ 4377 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4372 ], + "BLUT": [ 4371 ], + "C0": [ 4375 ], + "Z": [ 4378 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4374 ], + "BLUT": [ 4373 ], + "C0": [ 4375 ], + "Z": [ 4379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4376 ], + "D1": [ 4377 ], + "SD": [ 603 ], + "Z": [ 4380 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4378 ], + "D1": [ 4379 ], + "SD": [ 603 ], + "Z": [ 4381 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4380 ], + "D1": [ 4381 ], + "SD": [ 4382 ], + "Z": [ 4218 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4383 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4383 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4385 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4383 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4386 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4383 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4387 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4383 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4383 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4389 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4383 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4390 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4383 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4391 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4385 ], + "BLUT": [ 4384 ], + "C0": [ 606 ], + "Z": [ 4392 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4387 ], + "BLUT": [ 4386 ], + "C0": [ 606 ], + "Z": [ 4393 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4389 ], + "BLUT": [ 4388 ], + "C0": [ 606 ], + "Z": [ 4394 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4391 ], + "BLUT": [ 4390 ], + "C0": [ 606 ], + "Z": [ 4395 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4392 ], + "D1": [ 4393 ], + "SD": [ 3880 ], + "Z": [ 4396 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4394 ], + "D1": [ 4395 ], + "SD": [ 3880 ], + "Z": [ 4397 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4396 ], + "D1": [ 4397 ], + "SD": [ 610 ], + "Z": [ 4382 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4398 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4399 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4398 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4400 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4398 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4401 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4398 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4402 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4398 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4403 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4398 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4404 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4398 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4405 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4398 ], + "B": [ 607 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 4406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4400 ], + "BLUT": [ 4399 ], + "C0": [ 606 ], + "Z": [ 4407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4402 ], + "BLUT": [ 4401 ], + "C0": [ 606 ], + "Z": [ 4408 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4404 ], + "BLUT": [ 4403 ], + "C0": [ 606 ], + "Z": [ 4409 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4406 ], + "BLUT": [ 4405 ], + "C0": [ 606 ], + "Z": [ 4410 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4407 ], + "D1": [ 4408 ], + "SD": [ 3923 ], + "Z": [ 4411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4409 ], + "D1": [ 4410 ], + "SD": [ 3923 ], + "Z": [ 4412 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4411 ], + "D1": [ 4412 ], + "SD": [ 610 ], + "Z": [ 4375 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39864": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4117 ], + "B": [ 612 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 575 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39865.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4413 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4414 ], + "Z": [ 4415 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39865.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4413 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4414 ], + "Z": [ 4416 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39865.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4416 ], + "BLUT": [ 4415 ], + "C0": [ 578 ], + "Z": [ 552 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39866": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4067 ], + "B": [ 4069 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4414 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4417 ], + "B": [ 4418 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4419 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4417 ], + "B": [ 4418 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4420 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4417 ], + "B": [ 4418 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4421 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4417 ], + "B": [ 4418 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4417 ], + "B": [ 4418 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4423 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4417 ], + "B": [ 4418 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4424 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4417 ], + "B": [ 4418 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4417 ], + "B": [ 4418 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4426 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4420 ], + "BLUT": [ 4419 ], + "C0": [ 4427 ], + "Z": [ 4428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4422 ], + "BLUT": [ 4421 ], + "C0": [ 4427 ], + "Z": [ 4429 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4424 ], + "BLUT": [ 4423 ], + "C0": [ 4427 ], + "Z": [ 4430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4426 ], + "BLUT": [ 4425 ], + "C0": [ 4427 ], + "Z": [ 4431 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4428 ], + "D1": [ 4429 ], + "SD": [ 4126 ], + "Z": [ 4432 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4430 ], + "D1": [ 4431 ], + "SD": [ 4126 ], + "Z": [ 4433 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4432 ], + "D1": [ 4433 ], + "SD": [ 578 ], + "Z": [ 571 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39868": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4116 ], + "B": [ 611 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4427 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39869.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4434 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4182 ], + "Z": [ 4435 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39869.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4434 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4182 ], + "Z": [ 4436 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39869.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4436 ], + "BLUT": [ 4435 ], + "C0": [ 578 ], + "Z": [ 553 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39870.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4437 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4438 ], + "Z": [ 4439 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39870.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4437 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4438 ], + "Z": [ 4440 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39870.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4440 ], + "BLUT": [ 4439 ], + "C0": [ 578 ], + "Z": [ 554 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39871": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4165 ], + "B": [ 4167 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4438 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39872.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4441 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4442 ], + "Z": [ 4443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39872.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4441 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4442 ], + "Z": [ 4444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39872.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4444 ], + "BLUT": [ 4443 ], + "C0": [ 578 ], + "Z": [ 563 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39873": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4365 ], + "B": [ 3921 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39874.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 240 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 574 ], + "B": [ 573 ], + "C": [ 4445 ], + "D": [ 578 ], + "Z": [ 4446 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39874.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65528 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 574 ], + "B": [ 573 ], + "C": [ 4445 ], + "D": [ 578 ], + "Z": [ 4447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39874.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4447 ], + "BLUT": [ 4446 ], + "C0": [ 4448 ], + "Z": [ 568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39875": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4268 ], + "B": [ 3977 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4445 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4449 ], + "B": [ 4450 ], + "C": [ 4451 ], + "D": [ 4452 ], + "Z": [ 4453 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4449 ], + "B": [ 4450 ], + "C": [ 4451 ], + "D": [ 4452 ], + "Z": [ 4454 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4449 ], + "B": [ 4450 ], + "C": [ 4451 ], + "D": [ 4452 ], + "Z": [ 4455 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4449 ], + "B": [ 4450 ], + "C": [ 4451 ], + "D": [ 4452 ], + "Z": [ 4456 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4449 ], + "B": [ 4450 ], + "C": [ 4451 ], + "D": [ 4452 ], + "Z": [ 4457 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4449 ], + "B": [ 4450 ], + "C": [ 4451 ], + "D": [ 4452 ], + "Z": [ 4458 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4449 ], + "B": [ 4450 ], + "C": [ 4451 ], + "D": [ 4452 ], + "Z": [ 4459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4449 ], + "B": [ 4450 ], + "C": [ 4451 ], + "D": [ 4452 ], + "Z": [ 4460 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4454 ], + "BLUT": [ 4453 ], + "C0": [ 4461 ], + "Z": [ 4462 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4456 ], + "BLUT": [ 4455 ], + "C0": [ 4461 ], + "Z": [ 4463 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4458 ], + "BLUT": [ 4457 ], + "C0": [ 4461 ], + "Z": [ 4464 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4460 ], + "BLUT": [ 4459 ], + "C0": [ 4461 ], + "Z": [ 4465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4462 ], + "D1": [ 4463 ], + "SD": [ 4466 ], + "Z": [ 4467 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4464 ], + "D1": [ 4465 ], + "SD": [ 4466 ], + "Z": [ 4468 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4467 ], + "D1": [ 4468 ], + "SD": [ 4469 ], + "Z": [ 544 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4470 ], + "B": [ 4471 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4472 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4470 ], + "B": [ 4471 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4473 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4470 ], + "B": [ 4471 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4474 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36863 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4470 ], + "B": [ 4471 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4475 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4470 ], + "B": [ 4471 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4476 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4470 ], + "B": [ 4471 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4477 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4470 ], + "B": [ 4471 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4478 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4470 ], + "B": [ 4471 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4479 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4473 ], + "BLUT": [ 4472 ], + "C0": [ 4480 ], + "Z": [ 4481 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4475 ], + "BLUT": [ 4474 ], + "C0": [ 4480 ], + "Z": [ 4482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4477 ], + "BLUT": [ 4476 ], + "C0": [ 4480 ], + "Z": [ 4483 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4479 ], + "BLUT": [ 4478 ], + "C0": [ 4480 ], + "Z": [ 4484 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4481 ], + "D1": [ 4482 ], + "SD": [ 4085 ], + "Z": [ 4485 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4483 ], + "D1": [ 4484 ], + "SD": [ 4085 ], + "Z": [ 4486 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4485 ], + "D1": [ 4486 ], + "SD": [ 578 ], + "Z": [ 4469 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39878": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4066 ], + "B": [ 4068 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4480 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39879.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4487 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4331 ], + "Z": [ 4488 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39879.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4487 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4331 ], + "Z": [ 4489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39879.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4489 ], + "BLUT": [ 4488 ], + "C0": [ 578 ], + "Z": [ 4449 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39880.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4490 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4491 ], + "Z": [ 4492 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39880.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4490 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4491 ], + "Z": [ 4493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39880.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4493 ], + "BLUT": [ 4492 ], + "C0": [ 578 ], + "Z": [ 4450 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39881": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4267 ], + "B": [ 3862 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4491 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39882.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4494 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4078 ], + "Z": [ 4495 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39882.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4494 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4078 ], + "Z": [ 4496 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39882.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4496 ], + "BLUT": [ 4495 ], + "C0": [ 578 ], + "Z": [ 4451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39883.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4497 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4498 ], + "Z": [ 4499 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39883.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4497 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4498 ], + "Z": [ 4500 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39883.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4500 ], + "BLUT": [ 4499 ], + "C0": [ 578 ], + "Z": [ 4452 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39884": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4316 ], + "B": [ 3978 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4498 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39885.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4501 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4177 ], + "Z": [ 4502 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39885.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4501 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4177 ], + "Z": [ 4503 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39885.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4503 ], + "BLUT": [ 4502 ], + "C0": [ 578 ], + "Z": [ 4461 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39886.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4504 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4229 ], + "Z": [ 4505 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39886.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4504 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4229 ], + "Z": [ 4506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39886.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4506 ], + "BLUT": [ 4505 ], + "C0": [ 578 ], + "Z": [ 4466 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4507 ], + "B": [ 525 ], + "C": [ 4508 ], + "D": [ 4509 ], + "Z": [ 4510 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4507 ], + "B": [ 525 ], + "C": [ 4508 ], + "D": [ 4509 ], + "Z": [ 4511 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4507 ], + "B": [ 525 ], + "C": [ 4508 ], + "D": [ 4509 ], + "Z": [ 4512 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4507 ], + "B": [ 525 ], + "C": [ 4508 ], + "D": [ 4509 ], + "Z": [ 4513 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4507 ], + "B": [ 525 ], + "C": [ 4508 ], + "D": [ 4509 ], + "Z": [ 4514 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4507 ], + "B": [ 525 ], + "C": [ 4508 ], + "D": [ 4509 ], + "Z": [ 4515 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4507 ], + "B": [ 525 ], + "C": [ 4508 ], + "D": [ 4509 ], + "Z": [ 4516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4507 ], + "B": [ 525 ], + "C": [ 4508 ], + "D": [ 4509 ], + "Z": [ 4517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4511 ], + "BLUT": [ 4510 ], + "C0": [ 4518 ], + "Z": [ 4519 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4513 ], + "BLUT": [ 4512 ], + "C0": [ 4518 ], + "Z": [ 4520 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4515 ], + "BLUT": [ 4514 ], + "C0": [ 4518 ], + "Z": [ 4521 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4517 ], + "BLUT": [ 4516 ], + "C0": [ 4518 ], + "Z": [ 4522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4519 ], + "D1": [ 4520 ], + "SD": [ 4523 ], + "Z": [ 4524 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4521 ], + "D1": [ 4522 ], + "SD": [ 4523 ], + "Z": [ 4525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4524 ], + "D1": [ 4525 ], + "SD": [ 4526 ], + "Z": [ 545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39888.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4527 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4375 ], + "Z": [ 4528 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39888.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4527 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4375 ], + "Z": [ 4529 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39888.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4529 ], + "BLUT": [ 4528 ], + "C0": [ 578 ], + "Z": [ 4507 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39889.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4530 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4234 ], + "Z": [ 4531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39889.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4530 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4234 ], + "Z": [ 4532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39889.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4532 ], + "BLUT": [ 4531 ], + "C0": [ 578 ], + "Z": [ 525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39890.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4533 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4326 ], + "Z": [ 4534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39890.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4533 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4326 ], + "Z": [ 4535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39890.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4535 ], + "BLUT": [ 4534 ], + "C0": [ 578 ], + "Z": [ 4508 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39891.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4536 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4382 ], + "Z": [ 4537 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39891.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4536 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4382 ], + "Z": [ 4538 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39891.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4538 ], + "BLUT": [ 4537 ], + "C0": [ 578 ], + "Z": [ 4518 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39892.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4539 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4131 ], + "Z": [ 4540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39892.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4539 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4131 ], + "Z": [ 4541 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39892.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4541 ], + "BLUT": [ 4540 ], + "C0": [ 578 ], + "Z": [ 4509 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39893.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4542 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4543 ], + "Z": [ 4544 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39893.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4542 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4543 ], + "Z": [ 4545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39893.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4545 ], + "BLUT": [ 4544 ], + "C0": [ 578 ], + "Z": [ 4523 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39894": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4366 ], + "B": [ 3994 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4543 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4546 ], + "B": [ 4547 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4546 ], + "B": [ 4547 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4546 ], + "B": [ 4547 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4550 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4546 ], + "B": [ 4547 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4551 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4546 ], + "B": [ 4547 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4552 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4546 ], + "B": [ 4547 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4553 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4546 ], + "B": [ 4547 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4554 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4546 ], + "B": [ 4547 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4555 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4549 ], + "BLUT": [ 4548 ], + "C0": [ 4556 ], + "Z": [ 4557 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4551 ], + "BLUT": [ 4550 ], + "C0": [ 4556 ], + "Z": [ 4558 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4553 ], + "BLUT": [ 4552 ], + "C0": [ 4556 ], + "Z": [ 4559 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4555 ], + "BLUT": [ 4554 ], + "C0": [ 4556 ], + "Z": [ 4560 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4557 ], + "D1": [ 4558 ], + "SD": [ 4282 ], + "Z": [ 4561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4559 ], + "D1": [ 4560 ], + "SD": [ 4282 ], + "Z": [ 4562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4561 ], + "D1": [ 4562 ], + "SD": [ 578 ], + "Z": [ 4526 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39896": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 584 ], + "B": [ 586 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4556 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4563 ], + "B": [ 4564 ], + "C": [ 4565 ], + "D": [ 4566 ], + "Z": [ 4567 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4563 ], + "B": [ 4564 ], + "C": [ 4565 ], + "D": [ 4566 ], + "Z": [ 4568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4563 ], + "B": [ 4564 ], + "C": [ 4565 ], + "D": [ 4566 ], + "Z": [ 4569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4563 ], + "B": [ 4564 ], + "C": [ 4565 ], + "D": [ 4566 ], + "Z": [ 4570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4563 ], + "B": [ 4564 ], + "C": [ 4565 ], + "D": [ 4566 ], + "Z": [ 4571 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4563 ], + "B": [ 4564 ], + "C": [ 4565 ], + "D": [ 4566 ], + "Z": [ 4572 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4563 ], + "B": [ 4564 ], + "C": [ 4565 ], + "D": [ 4566 ], + "Z": [ 4573 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4563 ], + "B": [ 4564 ], + "C": [ 4565 ], + "D": [ 4566 ], + "Z": [ 4574 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4568 ], + "BLUT": [ 4567 ], + "C0": [ 526 ], + "Z": [ 4575 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4570 ], + "BLUT": [ 4569 ], + "C0": [ 526 ], + "Z": [ 4576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4572 ], + "BLUT": [ 4571 ], + "C0": [ 526 ], + "Z": [ 4577 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4574 ], + "BLUT": [ 4573 ], + "C0": [ 526 ], + "Z": [ 4578 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4575 ], + "D1": [ 4576 ], + "SD": [ 4579 ], + "Z": [ 4580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4577 ], + "D1": [ 4578 ], + "SD": [ 4579 ], + "Z": [ 4581 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4580 ], + "D1": [ 4581 ], + "SD": [ 4582 ], + "Z": [ 546 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4583 ], + "B": [ 4584 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4585 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4583 ], + "B": [ 4584 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4586 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4583 ], + "B": [ 4584 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4587 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4583 ], + "B": [ 4584 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4583 ], + "B": [ 4584 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4589 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4583 ], + "B": [ 4584 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4590 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4583 ], + "B": [ 4584 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4591 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4583 ], + "B": [ 4584 ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 4592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4586 ], + "BLUT": [ 4585 ], + "C0": [ 4593 ], + "Z": [ 4594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4588 ], + "BLUT": [ 4587 ], + "C0": [ 4593 ], + "Z": [ 4595 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4590 ], + "BLUT": [ 4589 ], + "C0": [ 4593 ], + "Z": [ 4596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4592 ], + "BLUT": [ 4591 ], + "C0": [ 4593 ], + "Z": [ 4597 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4594 ], + "D1": [ 4595 ], + "SD": [ 595 ], + "Z": [ 4598 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4596 ], + "D1": [ 4597 ], + "SD": [ 595 ], + "Z": [ 4599 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4598 ], + "D1": [ 4599 ], + "SD": [ 578 ], + "Z": [ 4582 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39899": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4166 ], + "B": [ 4168 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39900.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4600 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4277 ], + "Z": [ 4601 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39900.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4600 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4277 ], + "Z": [ 4602 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39900.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4602 ], + "BLUT": [ 4601 ], + "C0": [ 578 ], + "Z": [ 4563 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39901.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4603 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4604 ], + "Z": [ 4605 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39901.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4603 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4604 ], + "Z": [ 4606 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39901.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4606 ], + "BLUT": [ 4605 ], + "C0": [ 578 ], + "Z": [ 4564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39902": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4220 ], + "B": [ 3937 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4604 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39903.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4607 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4608 ], + "Z": [ 4609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39903.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4607 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4608 ], + "Z": [ 4610 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39903.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4610 ], + "BLUT": [ 4609 ], + "C0": [ 578 ], + "Z": [ 4565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39904": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4219 ], + "B": [ 3922 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4608 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39905.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4611 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 600 ], + "Z": [ 4612 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39905.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4611 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 600 ], + "Z": [ 4613 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39905.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4613 ], + "BLUT": [ 4612 ], + "C0": [ 578 ], + "Z": [ 4566 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39906.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4614 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4615 ], + "Z": [ 4616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39906.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4614 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4615 ], + "Z": [ 4617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39906.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4617 ], + "BLUT": [ 4616 ], + "C0": [ 578 ], + "Z": [ 526 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39907": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4315 ], + "B": [ 4317 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4615 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39908.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4618 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4619 ], + "Z": [ 4620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39908.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4618 ], + "B": [ 573 ], + "C": [ 574 ], + "D": [ 4619 ], + "Z": [ 4621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39908.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4621 ], + "BLUT": [ 4620 ], + "C0": [ 578 ], + "Z": [ 4579 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39909": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 583 ], + "B": [ 585 ], + "C": [ 603 ], + "D": [ "0" ], + "Z": [ 4619 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4622 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4623 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4622 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4622 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4622 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4622 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4622 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4622 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4622 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4624 ], + "BLUT": [ 4623 ], + "C0": [ 546 ], + "Z": [ 4631 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4626 ], + "BLUT": [ 4625 ], + "C0": [ 546 ], + "Z": [ 4632 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4628 ], + "BLUT": [ 4627 ], + "C0": [ 546 ], + "Z": [ 4633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4630 ], + "BLUT": [ 4629 ], + "C0": [ 546 ], + "Z": [ 4634 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4631 ], + "D1": [ 4632 ], + "SD": [ 4508 ], + "Z": [ 4635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4633 ], + "D1": [ 4634 ], + "SD": [ 4508 ], + "Z": [ 4636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4635 ], + "D1": [ 4636 ], + "SD": [ 550 ], + "Z": [ 535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4637 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4638 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4637 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4637 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4640 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4637 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4641 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4637 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4642 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4637 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4643 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4637 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4644 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4637 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4645 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4639 ], + "BLUT": [ 4638 ], + "C0": [ 546 ], + "Z": [ 4646 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4641 ], + "BLUT": [ 4640 ], + "C0": [ 546 ], + "Z": [ 4647 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4643 ], + "BLUT": [ 4642 ], + "C0": [ 546 ], + "Z": [ 4648 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4645 ], + "BLUT": [ 4644 ], + "C0": [ 546 ], + "Z": [ 4649 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4646 ], + "D1": [ 4647 ], + "SD": [ 4450 ], + "Z": [ 4650 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4648 ], + "D1": [ 4649 ], + "SD": [ 4450 ], + "Z": [ 4651 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4650 ], + "D1": [ 4651 ], + "SD": [ 550 ], + "Z": [ 540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4652 ], + "B": [ 4653 ], + "C": [ 551 ], + "D": [ 4466 ], + "Z": [ 4654 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4652 ], + "B": [ 4653 ], + "C": [ 551 ], + "D": [ 4466 ], + "Z": [ 4655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4652 ], + "B": [ 4653 ], + "C": [ 551 ], + "D": [ 4466 ], + "Z": [ 4656 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4652 ], + "B": [ 4653 ], + "C": [ 551 ], + "D": [ 4466 ], + "Z": [ 4657 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4652 ], + "B": [ 4653 ], + "C": [ 551 ], + "D": [ 4466 ], + "Z": [ 4658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4652 ], + "B": [ 4653 ], + "C": [ 551 ], + "D": [ 4466 ], + "Z": [ 4659 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4652 ], + "B": [ 4653 ], + "C": [ 551 ], + "D": [ 4466 ], + "Z": [ 4660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4652 ], + "B": [ 4653 ], + "C": [ 551 ], + "D": [ 4466 ], + "Z": [ 4661 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4655 ], + "BLUT": [ 4654 ], + "C0": [ 4662 ], + "Z": [ 4663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4657 ], + "BLUT": [ 4656 ], + "C0": [ 4662 ], + "Z": [ 4664 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4659 ], + "BLUT": [ 4658 ], + "C0": [ 4662 ], + "Z": [ 4665 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4661 ], + "BLUT": [ 4660 ], + "C0": [ 4662 ], + "Z": [ 4666 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4663 ], + "D1": [ 4664 ], + "SD": [ 543 ], + "Z": [ 4667 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4665 ], + "D1": [ 4666 ], + "SD": [ 543 ], + "Z": [ 4668 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4667 ], + "D1": [ 4668 ], + "SD": [ 4669 ], + "Z": [ 520 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4670 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4670 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4672 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4670 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4670 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4670 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4670 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4676 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4670 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4677 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4670 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4672 ], + "BLUT": [ 4671 ], + "C0": [ 546 ], + "Z": [ 4679 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4674 ], + "BLUT": [ 4673 ], + "C0": [ 546 ], + "Z": [ 4680 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4676 ], + "BLUT": [ 4675 ], + "C0": [ 546 ], + "Z": [ 4681 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4678 ], + "BLUT": [ 4677 ], + "C0": [ 546 ], + "Z": [ 4682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4679 ], + "D1": [ 4680 ], + "SD": [ 4563 ], + "Z": [ 4683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4681 ], + "D1": [ 4682 ], + "SD": [ 4563 ], + "Z": [ 4684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4683 ], + "D1": [ 4684 ], + "SD": [ 550 ], + "Z": [ 4662 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4685 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4686 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4685 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4685 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4688 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4685 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4689 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4685 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4690 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4685 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4691 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4685 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4685 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4693 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4687 ], + "BLUT": [ 4686 ], + "C0": [ 546 ], + "Z": [ 4694 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4689 ], + "BLUT": [ 4688 ], + "C0": [ 546 ], + "Z": [ 4695 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4691 ], + "BLUT": [ 4690 ], + "C0": [ 546 ], + "Z": [ 4696 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4693 ], + "BLUT": [ 4692 ], + "C0": [ 546 ], + "Z": [ 4697 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4694 ], + "D1": [ 4695 ], + "SD": [ 4518 ], + "Z": [ 4698 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4696 ], + "D1": [ 4697 ], + "SD": [ 4518 ], + "Z": [ 4699 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4698 ], + "D1": [ 4699 ], + "SD": [ 550 ], + "Z": [ 4669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 4701 ], + "C": [ 4449 ], + "D": [ 4579 ], + "Z": [ 4702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 4701 ], + "C": [ 4449 ], + "D": [ 4579 ], + "Z": [ 4703 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 4701 ], + "C": [ 4449 ], + "D": [ 4579 ], + "Z": [ 4704 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 4701 ], + "C": [ 4449 ], + "D": [ 4579 ], + "Z": [ 4705 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 4701 ], + "C": [ 4449 ], + "D": [ 4579 ], + "Z": [ 4706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 4701 ], + "C": [ 4449 ], + "D": [ 4579 ], + "Z": [ 4707 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 4701 ], + "C": [ 4449 ], + "D": [ 4579 ], + "Z": [ 4708 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 4701 ], + "C": [ 4449 ], + "D": [ 4579 ], + "Z": [ 4709 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4703 ], + "BLUT": [ 4702 ], + "C0": [ 4710 ], + "Z": [ 4711 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4705 ], + "BLUT": [ 4704 ], + "C0": [ 4710 ], + "Z": [ 4712 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4707 ], + "BLUT": [ 4706 ], + "C0": [ 4710 ], + "Z": [ 4713 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4709 ], + "BLUT": [ 4708 ], + "C0": [ 4710 ], + "Z": [ 4714 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4711 ], + "D1": [ 4712 ], + "SD": [ 4715 ], + "Z": [ 4716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4713 ], + "D1": [ 4714 ], + "SD": [ 4715 ], + "Z": [ 4717 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4716 ], + "D1": [ 4717 ], + "SD": [ 543 ], + "Z": [ 521 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4718 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4719 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4718 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4718 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4718 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4722 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4718 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4723 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4718 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4724 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4718 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4725 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4718 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4726 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4720 ], + "BLUT": [ 4719 ], + "C0": [ 546 ], + "Z": [ 4727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4722 ], + "BLUT": [ 4721 ], + "C0": [ 546 ], + "Z": [ 4728 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4724 ], + "BLUT": [ 4723 ], + "C0": [ 546 ], + "Z": [ 4729 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4726 ], + "BLUT": [ 4725 ], + "C0": [ 546 ], + "Z": [ 4730 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4727 ], + "D1": [ 4728 ], + "SD": [ 4452 ], + "Z": [ 4731 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4729 ], + "D1": [ 4730 ], + "SD": [ 4452 ], + "Z": [ 4732 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4731 ], + "D1": [ 4732 ], + "SD": [ 550 ], + "Z": [ 4710 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4733 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4733 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4735 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4733 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4736 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4733 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4737 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4733 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4738 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4733 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4733 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4740 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4733 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4741 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4735 ], + "BLUT": [ 4734 ], + "C0": [ 546 ], + "Z": [ 4742 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4737 ], + "BLUT": [ 4736 ], + "C0": [ 546 ], + "Z": [ 4743 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4739 ], + "BLUT": [ 4738 ], + "C0": [ 546 ], + "Z": [ 4744 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4741 ], + "BLUT": [ 4740 ], + "C0": [ 546 ], + "Z": [ 4745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4742 ], + "D1": [ 4743 ], + "SD": [ 4746 ], + "Z": [ 4747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4744 ], + "D1": [ 4745 ], + "SD": [ 4746 ], + "Z": [ 4748 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4747 ], + "D1": [ 4748 ], + "SD": [ 550 ], + "Z": [ 4715 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39918": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4584 ], + "B": [ 595 ], + "C": [ 4749 ], + "D": [ "0" ], + "Z": [ 4746 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39919": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 574 ], + "B": [ 573 ], + "C": [ 578 ], + "D": [ "0" ], + "Z": [ 4749 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4750 ], + "B": [ 4751 ], + "C": [ 4451 ], + "D": [ 4507 ], + "Z": [ 4752 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4750 ], + "B": [ 4751 ], + "C": [ 4451 ], + "D": [ 4507 ], + "Z": [ 4753 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4750 ], + "B": [ 4751 ], + "C": [ 4451 ], + "D": [ 4507 ], + "Z": [ 4754 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4750 ], + "B": [ 4751 ], + "C": [ 4451 ], + "D": [ 4507 ], + "Z": [ 4755 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4750 ], + "B": [ 4751 ], + "C": [ 4451 ], + "D": [ 4507 ], + "Z": [ 4756 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4750 ], + "B": [ 4751 ], + "C": [ 4451 ], + "D": [ 4507 ], + "Z": [ 4757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4750 ], + "B": [ 4751 ], + "C": [ 4451 ], + "D": [ 4507 ], + "Z": [ 4758 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4750 ], + "B": [ 4751 ], + "C": [ 4451 ], + "D": [ 4507 ], + "Z": [ 4759 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4753 ], + "BLUT": [ 4752 ], + "C0": [ 510 ], + "Z": [ 4760 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4755 ], + "BLUT": [ 4754 ], + "C0": [ 510 ], + "Z": [ 4761 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4757 ], + "BLUT": [ 4756 ], + "C0": [ 510 ], + "Z": [ 4762 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4759 ], + "BLUT": [ 4758 ], + "C0": [ 510 ], + "Z": [ 4763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4760 ], + "D1": [ 4761 ], + "SD": [ 4764 ], + "Z": [ 4765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4762 ], + "D1": [ 4763 ], + "SD": [ 4764 ], + "Z": [ 4766 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4765 ], + "D1": [ 4766 ], + "SD": [ 543 ], + "Z": [ 522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4767 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4768 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4767 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4769 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4767 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4770 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4767 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4767 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4772 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4767 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4773 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4767 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4774 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4767 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4775 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4769 ], + "BLUT": [ 4768 ], + "C0": [ 546 ], + "Z": [ 4776 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4771 ], + "BLUT": [ 4770 ], + "C0": [ 546 ], + "Z": [ 4777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4773 ], + "BLUT": [ 4772 ], + "C0": [ 546 ], + "Z": [ 4778 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4775 ], + "BLUT": [ 4774 ], + "C0": [ 546 ], + "Z": [ 4779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4776 ], + "D1": [ 4777 ], + "SD": [ 4461 ], + "Z": [ 4780 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4778 ], + "D1": [ 4779 ], + "SD": [ 4461 ], + "Z": [ 4781 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4780 ], + "D1": [ 4781 ], + "SD": [ 550 ], + "Z": [ 510 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4782 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4783 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4782 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4784 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4782 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4782 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4786 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4782 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4787 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4782 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4788 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4782 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4789 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4782 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4790 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4784 ], + "BLUT": [ 4783 ], + "C0": [ 546 ], + "Z": [ 4791 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4786 ], + "BLUT": [ 4785 ], + "C0": [ 546 ], + "Z": [ 4792 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4788 ], + "BLUT": [ 4787 ], + "C0": [ 546 ], + "Z": [ 4793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4790 ], + "BLUT": [ 4789 ], + "C0": [ 546 ], + "Z": [ 4794 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4791 ], + "D1": [ 4792 ], + "SD": [ 568 ], + "Z": [ 4795 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4793 ], + "D1": [ 4794 ], + "SD": [ 568 ], + "Z": [ 4796 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4795 ], + "D1": [ 4796 ], + "SD": [ 550 ], + "Z": [ 4764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39923": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4797 ], + "B": [ 4798 ], + "C": [ 4799 ], + "D": [ 4800 ], + "Z": [ 501 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4801 ], + "B": [ 4802 ], + "C": [ 554 ], + "D": [ 553 ], + "Z": [ 4803 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4801 ], + "B": [ 4802 ], + "C": [ 554 ], + "D": [ 553 ], + "Z": [ 4804 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4801 ], + "B": [ 4802 ], + "C": [ 554 ], + "D": [ 553 ], + "Z": [ 4805 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4801 ], + "B": [ 4802 ], + "C": [ 554 ], + "D": [ 553 ], + "Z": [ 4806 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4801 ], + "B": [ 4802 ], + "C": [ 554 ], + "D": [ 553 ], + "Z": [ 4807 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4801 ], + "B": [ 4802 ], + "C": [ 554 ], + "D": [ 553 ], + "Z": [ 4808 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4801 ], + "B": [ 4802 ], + "C": [ 554 ], + "D": [ 553 ], + "Z": [ 4809 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4801 ], + "B": [ 4802 ], + "C": [ 554 ], + "D": [ 553 ], + "Z": [ 4810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4804 ], + "BLUT": [ 4803 ], + "C0": [ 4811 ], + "Z": [ 4812 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4806 ], + "BLUT": [ 4805 ], + "C0": [ 4811 ], + "Z": [ 4813 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4808 ], + "BLUT": [ 4807 ], + "C0": [ 4811 ], + "Z": [ 4814 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4810 ], + "BLUT": [ 4809 ], + "C0": [ 4811 ], + "Z": [ 4815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4812 ], + "D1": [ 4813 ], + "SD": [ 4816 ], + "Z": [ 4817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4814 ], + "D1": [ 4815 ], + "SD": [ 4816 ], + "Z": [ 4818 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4817 ], + "D1": [ 4818 ], + "SD": [ 543 ], + "Z": [ 4797 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4819 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4820 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4819 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4821 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4819 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4822 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4819 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4823 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4819 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4824 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4819 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4825 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4819 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4826 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4819 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4827 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4821 ], + "BLUT": [ 4820 ], + "C0": [ 546 ], + "Z": [ 4828 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4823 ], + "BLUT": [ 4822 ], + "C0": [ 546 ], + "Z": [ 4829 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4825 ], + "BLUT": [ 4824 ], + "C0": [ 546 ], + "Z": [ 4830 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4827 ], + "BLUT": [ 4826 ], + "C0": [ 546 ], + "Z": [ 4831 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4828 ], + "D1": [ 4829 ], + "SD": [ 4832 ], + "Z": [ 4833 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4830 ], + "D1": [ 4831 ], + "SD": [ 4832 ], + "Z": [ 4834 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4833 ], + "D1": [ 4834 ], + "SD": [ 550 ], + "Z": [ 4811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39926": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4583 ], + "B": [ 4593 ], + "C": [ 4749 ], + "D": [ "0" ], + "Z": [ 4832 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4835 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4836 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4835 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4837 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4835 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4838 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4835 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4839 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4835 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4840 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4835 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4841 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4835 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4842 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4835 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4843 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4837 ], + "BLUT": [ 4836 ], + "C0": [ 546 ], + "Z": [ 4844 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4839 ], + "BLUT": [ 4838 ], + "C0": [ 546 ], + "Z": [ 4845 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4841 ], + "BLUT": [ 4840 ], + "C0": [ 546 ], + "Z": [ 4846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4843 ], + "BLUT": [ 4842 ], + "C0": [ 546 ], + "Z": [ 4847 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4844 ], + "D1": [ 4845 ], + "SD": [ 4848 ], + "Z": [ 4849 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4846 ], + "D1": [ 4847 ], + "SD": [ 4848 ], + "Z": [ 4850 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4849 ], + "D1": [ 4850 ], + "SD": [ 550 ], + "Z": [ 4816 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39928": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4418 ], + "B": [ 4126 ], + "C": [ 4749 ], + "D": [ "0" ], + "Z": [ 4848 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4851 ], + "B": [ 4852 ], + "C": [ 4509 ], + "D": [ 4564 ], + "Z": [ 4853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4851 ], + "B": [ 4852 ], + "C": [ 4509 ], + "D": [ 4564 ], + "Z": [ 4854 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4851 ], + "B": [ 4852 ], + "C": [ 4509 ], + "D": [ 4564 ], + "Z": [ 4855 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4851 ], + "B": [ 4852 ], + "C": [ 4509 ], + "D": [ 4564 ], + "Z": [ 4856 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4851 ], + "B": [ 4852 ], + "C": [ 4509 ], + "D": [ 4564 ], + "Z": [ 4857 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4851 ], + "B": [ 4852 ], + "C": [ 4509 ], + "D": [ 4564 ], + "Z": [ 4858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4851 ], + "B": [ 4852 ], + "C": [ 4509 ], + "D": [ 4564 ], + "Z": [ 4859 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4851 ], + "B": [ 4852 ], + "C": [ 4509 ], + "D": [ 4564 ], + "Z": [ 4860 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4854 ], + "BLUT": [ 4853 ], + "C0": [ 4861 ], + "Z": [ 4862 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4856 ], + "BLUT": [ 4855 ], + "C0": [ 4861 ], + "Z": [ 4863 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4858 ], + "BLUT": [ 4857 ], + "C0": [ 4861 ], + "Z": [ 4864 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4860 ], + "BLUT": [ 4859 ], + "C0": [ 4861 ], + "Z": [ 4865 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4862 ], + "D1": [ 4863 ], + "SD": [ 543 ], + "Z": [ 4866 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4864 ], + "D1": [ 4865 ], + "SD": [ 543 ], + "Z": [ 4867 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4866 ], + "D1": [ 4867 ], + "SD": [ 515 ], + "Z": [ 4798 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4868 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4869 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4868 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4868 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4871 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4868 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4872 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4868 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4873 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4868 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4868 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4875 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4868 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4876 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4870 ], + "BLUT": [ 4869 ], + "C0": [ 546 ], + "Z": [ 4877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4872 ], + "BLUT": [ 4871 ], + "C0": [ 546 ], + "Z": [ 4878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4874 ], + "BLUT": [ 4873 ], + "C0": [ 546 ], + "Z": [ 4879 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4876 ], + "BLUT": [ 4875 ], + "C0": [ 546 ], + "Z": [ 4880 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4877 ], + "D1": [ 4878 ], + "SD": [ 4565 ], + "Z": [ 4881 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4879 ], + "D1": [ 4880 ], + "SD": [ 4565 ], + "Z": [ 4882 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4881 ], + "D1": [ 4882 ], + "SD": [ 550 ], + "Z": [ 4861 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4883 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4884 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4883 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4885 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4883 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4886 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4883 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4887 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4883 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4888 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4883 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4889 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4883 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4890 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4883 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4891 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4885 ], + "BLUT": [ 4884 ], + "C0": [ 546 ], + "Z": [ 4892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4887 ], + "BLUT": [ 4886 ], + "C0": [ 546 ], + "Z": [ 4893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4889 ], + "BLUT": [ 4888 ], + "C0": [ 546 ], + "Z": [ 4894 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4891 ], + "BLUT": [ 4890 ], + "C0": [ 546 ], + "Z": [ 4895 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4892 ], + "D1": [ 4893 ], + "SD": [ 4523 ], + "Z": [ 4896 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4894 ], + "D1": [ 4895 ], + "SD": [ 4523 ], + "Z": [ 4897 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4896 ], + "D1": [ 4897 ], + "SD": [ 550 ], + "Z": [ 515 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4898 ], + "B": [ 4899 ], + "C": [ 4900 ], + "D": [ 4566 ], + "Z": [ 4901 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4898 ], + "B": [ 4899 ], + "C": [ 4900 ], + "D": [ 4566 ], + "Z": [ 4902 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4898 ], + "B": [ 4899 ], + "C": [ 4900 ], + "D": [ 4566 ], + "Z": [ 4903 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4898 ], + "B": [ 4899 ], + "C": [ 4900 ], + "D": [ 4566 ], + "Z": [ 4904 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4898 ], + "B": [ 4899 ], + "C": [ 4900 ], + "D": [ 4566 ], + "Z": [ 4905 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4898 ], + "B": [ 4899 ], + "C": [ 4900 ], + "D": [ 4566 ], + "Z": [ 4906 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4898 ], + "B": [ 4899 ], + "C": [ 4900 ], + "D": [ 4566 ], + "Z": [ 4907 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4898 ], + "B": [ 4899 ], + "C": [ 4900 ], + "D": [ 4566 ], + "Z": [ 4908 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4902 ], + "BLUT": [ 4901 ], + "C0": [ 4909 ], + "Z": [ 4910 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4904 ], + "BLUT": [ 4903 ], + "C0": [ 4909 ], + "Z": [ 4911 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4906 ], + "BLUT": [ 4905 ], + "C0": [ 4909 ], + "Z": [ 4912 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4908 ], + "BLUT": [ 4907 ], + "C0": [ 4909 ], + "Z": [ 4913 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4910 ], + "D1": [ 4911 ], + "SD": [ 4914 ], + "Z": [ 4915 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4912 ], + "D1": [ 4913 ], + "SD": [ 4914 ], + "Z": [ 4916 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4915 ], + "D1": [ 4916 ], + "SD": [ 543 ], + "Z": [ 4799 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4917 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4918 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4917 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4919 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4917 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4920 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4917 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4921 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4917 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4922 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4917 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4923 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4917 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4924 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4917 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4925 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4919 ], + "BLUT": [ 4918 ], + "C0": [ 546 ], + "Z": [ 4926 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4921 ], + "BLUT": [ 4920 ], + "C0": [ 546 ], + "Z": [ 4927 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4923 ], + "BLUT": [ 4922 ], + "C0": [ 546 ], + "Z": [ 4928 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4925 ], + "BLUT": [ 4924 ], + "C0": [ 546 ], + "Z": [ 4929 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4926 ], + "D1": [ 4927 ], + "SD": [ 4930 ], + "Z": [ 4931 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4928 ], + "D1": [ 4929 ], + "SD": [ 4930 ], + "Z": [ 4932 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4931 ], + "D1": [ 4932 ], + "SD": [ 550 ], + "Z": [ 4909 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39934": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4546 ], + "B": [ 4282 ], + "C": [ 4749 ], + "D": [ "0" ], + "Z": [ 4930 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4933 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4934 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4933 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4935 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4933 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4936 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4933 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4937 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4933 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4938 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4933 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4939 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4933 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4940 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4933 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4941 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4935 ], + "BLUT": [ 4934 ], + "C0": [ 546 ], + "Z": [ 4942 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4937 ], + "BLUT": [ 4936 ], + "C0": [ 546 ], + "Z": [ 4943 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4939 ], + "BLUT": [ 4938 ], + "C0": [ 546 ], + "Z": [ 4944 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4941 ], + "BLUT": [ 4940 ], + "C0": [ 546 ], + "Z": [ 4945 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4942 ], + "D1": [ 4943 ], + "SD": [ 4946 ], + "Z": [ 4947 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4944 ], + "D1": [ 4945 ], + "SD": [ 4946 ], + "Z": [ 4948 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4947 ], + "D1": [ 4948 ], + "SD": [ 550 ], + "Z": [ 4914 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39936": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4547 ], + "B": [ 4556 ], + "C": [ 4749 ], + "D": [ "0" ], + "Z": [ 4946 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39937": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4417 ], + "B": [ 4427 ], + "C": [ 4749 ], + "D": [ "0" ], + "Z": [ 4900 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4949 ], + "B": [ 4950 ], + "C": [ 563 ], + "D": [ 4951 ], + "Z": [ 4952 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4949 ], + "B": [ 4950 ], + "C": [ 563 ], + "D": [ 4951 ], + "Z": [ 4953 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4949 ], + "B": [ 4950 ], + "C": [ 563 ], + "D": [ 4951 ], + "Z": [ 4954 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4949 ], + "B": [ 4950 ], + "C": [ 563 ], + "D": [ 4951 ], + "Z": [ 4955 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4949 ], + "B": [ 4950 ], + "C": [ 563 ], + "D": [ 4951 ], + "Z": [ 4956 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4949 ], + "B": [ 4950 ], + "C": [ 563 ], + "D": [ 4951 ], + "Z": [ 4957 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4949 ], + "B": [ 4950 ], + "C": [ 563 ], + "D": [ 4951 ], + "Z": [ 4958 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4949 ], + "B": [ 4950 ], + "C": [ 563 ], + "D": [ 4951 ], + "Z": [ 4959 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4953 ], + "BLUT": [ 4952 ], + "C0": [ 4960 ], + "Z": [ 4961 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4955 ], + "BLUT": [ 4954 ], + "C0": [ 4960 ], + "Z": [ 4962 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4957 ], + "BLUT": [ 4956 ], + "C0": [ 4960 ], + "Z": [ 4963 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4959 ], + "BLUT": [ 4958 ], + "C0": [ 4960 ], + "Z": [ 4964 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4961 ], + "D1": [ 4962 ], + "SD": [ 4965 ], + "Z": [ 4966 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4963 ], + "D1": [ 4964 ], + "SD": [ 4965 ], + "Z": [ 4967 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4966 ], + "D1": [ 4967 ], + "SD": [ 543 ], + "Z": [ 4800 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4968 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4969 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4968 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4970 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4968 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4971 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4968 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4972 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4968 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4973 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4968 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4974 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4968 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4975 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4968 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4976 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4970 ], + "BLUT": [ 4969 ], + "C0": [ 546 ], + "Z": [ 4977 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4972 ], + "BLUT": [ 4971 ], + "C0": [ 546 ], + "Z": [ 4978 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4974 ], + "BLUT": [ 4973 ], + "C0": [ 546 ], + "Z": [ 4979 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4976 ], + "BLUT": [ 4975 ], + "C0": [ 546 ], + "Z": [ 4980 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4977 ], + "D1": [ 4978 ], + "SD": [ 4981 ], + "Z": [ 4982 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4979 ], + "D1": [ 4980 ], + "SD": [ 4981 ], + "Z": [ 4983 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4982 ], + "D1": [ 4983 ], + "SD": [ 550 ], + "Z": [ 4960 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39940": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4471 ], + "B": [ 4480 ], + "C": [ 4749 ], + "D": [ "0" ], + "Z": [ 4981 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4984 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4985 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4984 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4986 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4984 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4987 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4984 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4988 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4984 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4989 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4984 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4990 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4984 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4991 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4984 ], + "B": [ 547 ], + "C": [ 544 ], + "D": [ 545 ], + "Z": [ 4992 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4986 ], + "BLUT": [ 4985 ], + "C0": [ 546 ], + "Z": [ 4993 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4988 ], + "BLUT": [ 4987 ], + "C0": [ 546 ], + "Z": [ 4994 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4990 ], + "BLUT": [ 4989 ], + "C0": [ 546 ], + "Z": [ 4995 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4992 ], + "BLUT": [ 4991 ], + "C0": [ 546 ], + "Z": [ 4996 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4993 ], + "D1": [ 4994 ], + "SD": [ 552 ], + "Z": [ 4997 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4995 ], + "D1": [ 4996 ], + "SD": [ 552 ], + "Z": [ 4998 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4997 ], + "D1": [ 4998 ], + "SD": [ 550 ], + "Z": [ 4965 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39942": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4470 ], + "B": [ 4085 ], + "C": [ 4749 ], + "D": [ "0" ], + "Z": [ 4951 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39943.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4999 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5000 ], + "Z": [ 5001 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39943.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4999 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5000 ], + "Z": [ 5002 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39943.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5002 ], + "BLUT": [ 5001 ], + "C0": [ 518 ], + "Z": [ 494 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39944": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4802 ], + "B": [ 553 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5000 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39945.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5003 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5004 ], + "Z": [ 5005 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39945.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5003 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5004 ], + "Z": [ 5006 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39945.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5006 ], + "BLUT": [ 5005 ], + "C0": [ 518 ], + "Z": [ 477 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39946": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4852 ], + "B": [ 4564 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5004 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39947.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5007 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5008 ], + "Z": [ 5009 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39947.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5007 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5008 ], + "Z": [ 5010 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39947.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5010 ], + "BLUT": [ 5009 ], + "C0": [ 518 ], + "Z": [ 478 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39948": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4949 ], + "B": [ 563 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5008 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39949.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5011 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4662 ], + "Z": [ 5012 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39949.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5011 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4662 ], + "Z": [ 5013 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39949.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5013 ], + "BLUT": [ 5012 ], + "C0": [ 518 ], + "Z": [ 479 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39950.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5014 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4715 ], + "Z": [ 5015 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39950.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5014 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4715 ], + "Z": [ 5016 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39950.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5016 ], + "BLUT": [ 5015 ], + "C0": [ 518 ], + "Z": [ 480 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39951.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5017 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5018 ], + "Z": [ 5019 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39951.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5017 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5018 ], + "Z": [ 5020 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39951.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5020 ], + "BLUT": [ 5019 ], + "C0": [ 518 ], + "Z": [ 489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39952": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4750 ], + "B": [ 4451 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5018 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5021 ], + "B": [ 5022 ], + "C": [ 5023 ], + "D": [ 5024 ], + "Z": [ 5025 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5021 ], + "B": [ 5022 ], + "C": [ 5023 ], + "D": [ 5024 ], + "Z": [ 5026 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5021 ], + "B": [ 5022 ], + "C": [ 5023 ], + "D": [ 5024 ], + "Z": [ 5027 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5021 ], + "B": [ 5022 ], + "C": [ 5023 ], + "D": [ 5024 ], + "Z": [ 5028 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5021 ], + "B": [ 5022 ], + "C": [ 5023 ], + "D": [ 5024 ], + "Z": [ 5029 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5021 ], + "B": [ 5022 ], + "C": [ 5023 ], + "D": [ 5024 ], + "Z": [ 5030 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5021 ], + "B": [ 5022 ], + "C": [ 5023 ], + "D": [ 5024 ], + "Z": [ 5031 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5021 ], + "B": [ 5022 ], + "C": [ 5023 ], + "D": [ 5024 ], + "Z": [ 5032 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5026 ], + "BLUT": [ 5025 ], + "C0": [ 5033 ], + "Z": [ 5034 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5028 ], + "BLUT": [ 5027 ], + "C0": [ 5033 ], + "Z": [ 5035 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5030 ], + "BLUT": [ 5029 ], + "C0": [ 5033 ], + "Z": [ 5036 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5032 ], + "BLUT": [ 5031 ], + "C0": [ 5033 ], + "Z": [ 5037 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5034 ], + "D1": [ 5035 ], + "SD": [ 5038 ], + "Z": [ 5039 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5036 ], + "D1": [ 5037 ], + "SD": [ 5038 ], + "Z": [ 5040 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5039 ], + "D1": [ 5040 ], + "SD": [ 5041 ], + "Z": [ 470 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39954.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5042 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5043 ], + "Z": [ 5044 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39954.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5042 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5043 ], + "Z": [ 5045 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39954.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5045 ], + "BLUT": [ 5044 ], + "C0": [ 518 ], + "Z": [ 5021 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39955": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4851 ], + "B": [ 4509 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5043 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39956.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5046 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4710 ], + "Z": [ 5047 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39956.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5046 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4710 ], + "Z": [ 5048 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39956.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5048 ], + "BLUT": [ 5047 ], + "C0": [ 518 ], + "Z": [ 5022 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5049 ], + "B": [ 5050 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5051 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5049 ], + "B": [ 5050 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5052 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5049 ], + "B": [ 5050 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5053 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5049 ], + "B": [ 5050 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5054 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5049 ], + "B": [ 5050 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5055 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5049 ], + "B": [ 5050 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5056 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5049 ], + "B": [ 5050 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5057 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5049 ], + "B": [ 5050 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5058 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5052 ], + "BLUT": [ 5051 ], + "C0": [ 4909 ], + "Z": [ 5059 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5054 ], + "BLUT": [ 5053 ], + "C0": [ 4909 ], + "Z": [ 5060 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5056 ], + "BLUT": [ 5055 ], + "C0": [ 4909 ], + "Z": [ 5061 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5058 ], + "BLUT": [ 5057 ], + "C0": [ 4909 ], + "Z": [ 5062 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5059 ], + "D1": [ 5060 ], + "SD": [ 4914 ], + "Z": [ 5063 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5061 ], + "D1": [ 5062 ], + "SD": [ 4914 ], + "Z": [ 5064 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5063 ], + "D1": [ 5064 ], + "SD": [ 518 ], + "Z": [ 5041 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39958.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5065 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5066 ], + "Z": [ 5067 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39958.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5065 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5066 ], + "Z": [ 5068 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39958.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5068 ], + "BLUT": [ 5067 ], + "C0": [ 518 ], + "Z": [ 5023 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39959": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 4449 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5066 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39960.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5069 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5070 ], + "Z": [ 5071 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39960.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5069 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5070 ], + "Z": [ 5072 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39960.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5072 ], + "BLUT": [ 5071 ], + "C0": [ 518 ], + "Z": [ 5024 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39961": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4701 ], + "B": [ 4579 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5070 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39962.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5073 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5074 ], + "Z": [ 5075 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39962.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5073 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5074 ], + "Z": [ 5076 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39962.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5076 ], + "BLUT": [ 5075 ], + "C0": [ 518 ], + "Z": [ 5033 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39963": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 523 ], + "B": [ 526 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5074 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39964.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5077 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5078 ], + "Z": [ 5079 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39964.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5077 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5078 ], + "Z": [ 5080 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39964.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5080 ], + "BLUT": [ 5079 ], + "C0": [ 518 ], + "Z": [ 5038 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39965": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4652 ], + "B": [ 551 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5078 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5081 ], + "B": [ 5082 ], + "C": [ 5083 ], + "D": [ 5084 ], + "Z": [ 5085 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5081 ], + "B": [ 5082 ], + "C": [ 5083 ], + "D": [ 5084 ], + "Z": [ 5086 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5081 ], + "B": [ 5082 ], + "C": [ 5083 ], + "D": [ 5084 ], + "Z": [ 5087 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5081 ], + "B": [ 5082 ], + "C": [ 5083 ], + "D": [ 5084 ], + "Z": [ 5088 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5081 ], + "B": [ 5082 ], + "C": [ 5083 ], + "D": [ 5084 ], + "Z": [ 5089 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5081 ], + "B": [ 5082 ], + "C": [ 5083 ], + "D": [ 5084 ], + "Z": [ 5090 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5081 ], + "B": [ 5082 ], + "C": [ 5083 ], + "D": [ 5084 ], + "Z": [ 5091 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5081 ], + "B": [ 5082 ], + "C": [ 5083 ], + "D": [ 5084 ], + "Z": [ 5092 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5086 ], + "BLUT": [ 5085 ], + "C0": [ 5093 ], + "Z": [ 5094 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5088 ], + "BLUT": [ 5087 ], + "C0": [ 5093 ], + "Z": [ 5095 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5090 ], + "BLUT": [ 5089 ], + "C0": [ 5093 ], + "Z": [ 5096 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5092 ], + "BLUT": [ 5091 ], + "C0": [ 5093 ], + "Z": [ 5097 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5094 ], + "D1": [ 5095 ], + "SD": [ 5098 ], + "Z": [ 5099 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5096 ], + "D1": [ 5097 ], + "SD": [ 5098 ], + "Z": [ 5100 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5099 ], + "D1": [ 5100 ], + "SD": [ 5101 ], + "Z": [ 471 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39967.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5102 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4764 ], + "Z": [ 5103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39967.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5102 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4764 ], + "Z": [ 5104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39967.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5104 ], + "BLUT": [ 5103 ], + "C0": [ 518 ], + "Z": [ 5081 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39968.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5105 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5106 ], + "Z": [ 5107 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39968.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5105 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5106 ], + "Z": [ 5108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39968.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5108 ], + "BLUT": [ 5107 ], + "C0": [ 518 ], + "Z": [ 5082 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39969": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4801 ], + "B": [ 554 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39970.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5109 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 540 ], + "Z": [ 5110 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39970.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5109 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 540 ], + "Z": [ 5111 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39970.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5111 ], + "BLUT": [ 5110 ], + "C0": [ 518 ], + "Z": [ 5098 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39971.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5112 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4811 ], + "Z": [ 5113 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39971.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5112 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4811 ], + "Z": [ 5114 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39971.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5114 ], + "BLUT": [ 5113 ], + "C0": [ 518 ], + "Z": [ 5083 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5115 ], + "B": [ 5116 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5117 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5115 ], + "B": [ 5116 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5118 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5115 ], + "B": [ 5116 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5119 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5115 ], + "B": [ 5116 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5120 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5115 ], + "B": [ 5116 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5121 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5115 ], + "B": [ 5116 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5115 ], + "B": [ 5116 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5123 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5115 ], + "B": [ 5116 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5124 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5118 ], + "BLUT": [ 5117 ], + "C0": [ 5125 ], + "Z": [ 5126 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5120 ], + "BLUT": [ 5119 ], + "C0": [ 5125 ], + "Z": [ 5127 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5122 ], + "BLUT": [ 5121 ], + "C0": [ 5125 ], + "Z": [ 5128 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5124 ], + "BLUT": [ 5123 ], + "C0": [ 5125 ], + "Z": [ 5129 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5126 ], + "D1": [ 5127 ], + "SD": [ 5130 ], + "Z": [ 5131 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5128 ], + "D1": [ 5129 ], + "SD": [ 5130 ], + "Z": [ 5132 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5131 ], + "D1": [ 5132 ], + "SD": [ 518 ], + "Z": [ 5093 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39973": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 524 ], + "B": [ 525 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5125 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39974": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4751 ], + "B": [ 4507 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5130 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39975.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5133 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 535 ], + "Z": [ 5134 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39975.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5133 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 535 ], + "Z": [ 5135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39975.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5135 ], + "BLUT": [ 5134 ], + "C0": [ 518 ], + "Z": [ 5084 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39976.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5136 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5137 ], + "Z": [ 5138 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39976.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5136 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5137 ], + "Z": [ 5139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39976.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5139 ], + "BLUT": [ 5138 ], + "C0": [ 518 ], + "Z": [ 5101 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39977": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4950 ], + "B": [ 4951 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5137 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5140 ], + "B": [ 451 ], + "C": [ 5141 ], + "D": [ 452 ], + "Z": [ 5142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5140 ], + "B": [ 451 ], + "C": [ 5141 ], + "D": [ 452 ], + "Z": [ 5143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5140 ], + "B": [ 451 ], + "C": [ 5141 ], + "D": [ 452 ], + "Z": [ 5144 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5140 ], + "B": [ 451 ], + "C": [ 5141 ], + "D": [ 452 ], + "Z": [ 5145 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5140 ], + "B": [ 451 ], + "C": [ 5141 ], + "D": [ 452 ], + "Z": [ 5146 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5140 ], + "B": [ 451 ], + "C": [ 5141 ], + "D": [ 452 ], + "Z": [ 5147 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5140 ], + "B": [ 451 ], + "C": [ 5141 ], + "D": [ 452 ], + "Z": [ 5148 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5140 ], + "B": [ 451 ], + "C": [ 5141 ], + "D": [ 452 ], + "Z": [ 5149 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5143 ], + "BLUT": [ 5142 ], + "C0": [ 5150 ], + "Z": [ 5151 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5145 ], + "BLUT": [ 5144 ], + "C0": [ 5150 ], + "Z": [ 5152 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5147 ], + "BLUT": [ 5146 ], + "C0": [ 5150 ], + "Z": [ 5153 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5149 ], + "BLUT": [ 5148 ], + "C0": [ 5150 ], + "Z": [ 5154 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5151 ], + "D1": [ 5152 ], + "SD": [ 5155 ], + "Z": [ 5156 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5153 ], + "D1": [ 5154 ], + "SD": [ 5155 ], + "Z": [ 5157 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5156 ], + "D1": [ 5157 ], + "SD": [ 5158 ], + "Z": [ 472 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39979.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5159 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4816 ], + "Z": [ 5160 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39979.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5159 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4816 ], + "Z": [ 5161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39979.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5161 ], + "BLUT": [ 5160 ], + "C0": [ 518 ], + "Z": [ 5140 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39980.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5162 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4965 ], + "Z": [ 5163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39980.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5162 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4965 ], + "Z": [ 5164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39980.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5164 ], + "BLUT": [ 5163 ], + "C0": [ 518 ], + "Z": [ 451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39981.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5165 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5166 ], + "Z": [ 5167 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39981.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5165 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5166 ], + "Z": [ 5168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39981.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5168 ], + "BLUT": [ 5167 ], + "C0": [ 518 ], + "Z": [ 5141 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39982": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4898 ], + "B": [ 4900 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5166 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39983.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5169 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4669 ], + "Z": [ 5170 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39983.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5169 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4669 ], + "Z": [ 5171 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39983.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5171 ], + "BLUT": [ 5170 ], + "C0": [ 518 ], + "Z": [ 452 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39984.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5172 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5173 ], + "Z": [ 5174 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39984.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5172 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 5173 ], + "Z": [ 5175 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39984.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5175 ], + "BLUT": [ 5174 ], + "C0": [ 518 ], + "Z": [ 5150 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39985": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4899 ], + "B": [ 4566 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39986.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5176 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4960 ], + "Z": [ 5177 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39986.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5176 ], + "B": [ 500 ], + "C": [ 501 ], + "D": [ 4960 ], + "Z": [ 5178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39986.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5178 ], + "BLUT": [ 5177 ], + "C0": [ 518 ], + "Z": [ 5155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5179 ], + "B": [ 5180 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5181 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5179 ], + "B": [ 5180 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5182 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5179 ], + "B": [ 5180 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5183 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5179 ], + "B": [ 5180 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5184 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5179 ], + "B": [ 5180 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5185 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5179 ], + "B": [ 5180 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5186 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5179 ], + "B": [ 5180 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5179 ], + "B": [ 5180 ], + "C": [ 500 ], + "D": [ 501 ], + "Z": [ 5188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5182 ], + "BLUT": [ 5181 ], + "C0": [ 5189 ], + "Z": [ 5190 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5184 ], + "BLUT": [ 5183 ], + "C0": [ 5189 ], + "Z": [ 5191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5186 ], + "BLUT": [ 5185 ], + "C0": [ 5189 ], + "Z": [ 5192 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5188 ], + "BLUT": [ 5187 ], + "C0": [ 5189 ], + "Z": [ 5193 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5190 ], + "D1": [ 5191 ], + "SD": [ 4861 ], + "Z": [ 5194 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5192 ], + "D1": [ 5193 ], + "SD": [ 4861 ], + "Z": [ 5195 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5194 ], + "D1": [ 5195 ], + "SD": [ 518 ], + "Z": [ 5158 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39988": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4653 ], + "B": [ 4466 ], + "C": [ 543 ], + "D": [ "0" ], + "Z": [ 5189 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5196 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5197 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5196 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5198 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5196 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5199 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5196 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5200 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5196 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5201 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5196 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5202 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5196 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5203 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5196 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5204 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5198 ], + "BLUT": [ 5197 ], + "C0": [ 472 ], + "Z": [ 5205 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5200 ], + "BLUT": [ 5199 ], + "C0": [ 472 ], + "Z": [ 5206 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5202 ], + "BLUT": [ 5201 ], + "C0": [ 472 ], + "Z": [ 5207 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5204 ], + "BLUT": [ 5203 ], + "C0": [ 472 ], + "Z": [ 5208 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5205 ], + "D1": [ 5206 ], + "SD": [ 5084 ], + "Z": [ 5209 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5207 ], + "D1": [ 5208 ], + "SD": [ 5084 ], + "Z": [ 5210 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5209 ], + "D1": [ 5210 ], + "SD": [ 476 ], + "Z": [ 461 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5211 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5212 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5211 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5213 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5211 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5214 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5211 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5215 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5211 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5216 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5211 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5217 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5211 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5218 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5211 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5219 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5213 ], + "BLUT": [ 5212 ], + "C0": [ 472 ], + "Z": [ 5220 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5215 ], + "BLUT": [ 5214 ], + "C0": [ 472 ], + "Z": [ 5221 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5217 ], + "BLUT": [ 5216 ], + "C0": [ 472 ], + "Z": [ 5222 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5219 ], + "BLUT": [ 5218 ], + "C0": [ 472 ], + "Z": [ 5223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5220 ], + "D1": [ 5221 ], + "SD": [ 5155 ], + "Z": [ 5224 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5222 ], + "D1": [ 5223 ], + "SD": [ 5155 ], + "Z": [ 5225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5224 ], + "D1": [ 5225 ], + "SD": [ 476 ], + "Z": [ 466 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39991.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5226 ], + "B": [ 5227 ], + "C": [ 5150 ], + "D": [ 5024 ], + "Z": [ 5228 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39991.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5226 ], + "B": [ 5227 ], + "C": [ 5150 ], + "D": [ 5024 ], + "Z": [ 5229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39991.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5229 ], + "BLUT": [ 5228 ], + "C0": [ 469 ], + "Z": [ 429 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 10 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5230 ], + "B": [ 5098 ], + "C": [ 5231 ], + "D": [ 5232 ], + "Z": [ 5233 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5230 ], + "B": [ 5098 ], + "C": [ 5231 ], + "D": [ 5232 ], + "Z": [ 5234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5230 ], + "B": [ 5098 ], + "C": [ 5231 ], + "D": [ 5232 ], + "Z": [ 5235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5230 ], + "B": [ 5098 ], + "C": [ 5231 ], + "D": [ 5232 ], + "Z": [ 5236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 12 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5230 ], + "B": [ 5098 ], + "C": [ 5231 ], + "D": [ 5232 ], + "Z": [ 5237 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5230 ], + "B": [ 5098 ], + "C": [ 5231 ], + "D": [ 5232 ], + "Z": [ 5238 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5230 ], + "B": [ 5098 ], + "C": [ 5231 ], + "D": [ 5232 ], + "Z": [ 5239 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5230 ], + "B": [ 5098 ], + "C": [ 5231 ], + "D": [ 5232 ], + "Z": [ 5240 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5234 ], + "BLUT": [ 5233 ], + "C0": [ 5241 ], + "Z": [ 5242 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5236 ], + "BLUT": [ 5235 ], + "C0": [ 5241 ], + "Z": [ 5243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5238 ], + "BLUT": [ 5237 ], + "C0": [ 5241 ], + "Z": [ 5244 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5240 ], + "BLUT": [ 5239 ], + "C0": [ 5241 ], + "Z": [ 5245 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5242 ], + "D1": [ 5243 ], + "SD": [ 5246 ], + "Z": [ 5247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5244 ], + "D1": [ 5245 ], + "SD": [ 5246 ], + "Z": [ 5248 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5247 ], + "D1": [ 5248 ], + "SD": [ 469 ], + "Z": [ 430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5249 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5250 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5249 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5251 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5249 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5252 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5249 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5253 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5249 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5254 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5249 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5255 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5249 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5256 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5249 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5257 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5251 ], + "BLUT": [ 5250 ], + "C0": [ 472 ], + "Z": [ 5258 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5253 ], + "BLUT": [ 5252 ], + "C0": [ 472 ], + "Z": [ 5259 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5255 ], + "BLUT": [ 5254 ], + "C0": [ 472 ], + "Z": [ 5260 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5257 ], + "BLUT": [ 5256 ], + "C0": [ 472 ], + "Z": [ 5261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5258 ], + "D1": [ 5259 ], + "SD": [ 5022 ], + "Z": [ 5262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5260 ], + "D1": [ 5261 ], + "SD": [ 5022 ], + "Z": [ 5263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5262 ], + "D1": [ 5263 ], + "SD": [ 476 ], + "Z": [ 5246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5264 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5265 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5264 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5264 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5267 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5264 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5268 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5264 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5269 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5264 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5270 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5264 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5271 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5264 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5272 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5266 ], + "BLUT": [ 5265 ], + "C0": [ 472 ], + "Z": [ 5273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5268 ], + "BLUT": [ 5267 ], + "C0": [ 472 ], + "Z": [ 5274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5270 ], + "BLUT": [ 5269 ], + "C0": [ 472 ], + "Z": [ 5275 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5272 ], + "BLUT": [ 5271 ], + "C0": [ 472 ], + "Z": [ 5276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5273 ], + "D1": [ 5274 ], + "SD": [ 5023 ], + "Z": [ 5277 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5275 ], + "D1": [ 5276 ], + "SD": [ 5023 ], + "Z": [ 5278 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5277 ], + "D1": [ 5278 ], + "SD": [ 476 ], + "Z": [ 5231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5279 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5280 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5279 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5281 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5279 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5282 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5279 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5279 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5279 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5285 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5279 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5286 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5279 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5287 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5281 ], + "BLUT": [ 5280 ], + "C0": [ 472 ], + "Z": [ 5288 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5283 ], + "BLUT": [ 5282 ], + "C0": [ 472 ], + "Z": [ 5289 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5285 ], + "BLUT": [ 5284 ], + "C0": [ 472 ], + "Z": [ 5290 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5287 ], + "BLUT": [ 5286 ], + "C0": [ 472 ], + "Z": [ 5291 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5288 ], + "D1": [ 5289 ], + "SD": [ 5033 ], + "Z": [ 5292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5290 ], + "D1": [ 5291 ], + "SD": [ 5033 ], + "Z": [ 5293 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5292 ], + "D1": [ 5293 ], + "SD": [ 476 ], + "Z": [ 5232 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5294 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5295 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5294 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5296 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5294 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5297 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5294 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5298 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5294 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5294 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5300 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5294 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5301 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5294 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5302 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5296 ], + "BLUT": [ 5295 ], + "C0": [ 472 ], + "Z": [ 5303 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5298 ], + "BLUT": [ 5297 ], + "C0": [ 472 ], + "Z": [ 5304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5300 ], + "BLUT": [ 5299 ], + "C0": [ 472 ], + "Z": [ 5305 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5302 ], + "BLUT": [ 5301 ], + "C0": [ 472 ], + "Z": [ 5306 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5303 ], + "D1": [ 5304 ], + "SD": [ 5101 ], + "Z": [ 5307 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5305 ], + "D1": [ 5306 ], + "SD": [ 5101 ], + "Z": [ 5308 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5307 ], + "D1": [ 5308 ], + "SD": [ 476 ], + "Z": [ 5241 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5309 ], + "B": [ 5310 ], + "C": [ 5311 ], + "D": [ 416 ], + "Z": [ 5312 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5309 ], + "B": [ 5310 ], + "C": [ 5311 ], + "D": [ 416 ], + "Z": [ 5313 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5309 ], + "B": [ 5310 ], + "C": [ 5311 ], + "D": [ 416 ], + "Z": [ 5314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5309 ], + "B": [ 5310 ], + "C": [ 5311 ], + "D": [ 416 ], + "Z": [ 5315 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5313 ], + "BLUT": [ 5312 ], + "C0": [ 417 ], + "Z": [ 5316 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5315 ], + "BLUT": [ 5314 ], + "C0": [ 417 ], + "Z": [ 5317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5316 ], + "D1": [ 5317 ], + "SD": [ 5318 ], + "Z": [ 431 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5319 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5320 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5319 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5321 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5319 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5322 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5319 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5319 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5319 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5319 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5319 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5321 ], + "BLUT": [ 5320 ], + "C0": [ 472 ], + "Z": [ 5328 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5323 ], + "BLUT": [ 5322 ], + "C0": [ 472 ], + "Z": [ 5329 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5325 ], + "BLUT": [ 5324 ], + "C0": [ 472 ], + "Z": [ 5330 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5327 ], + "BLUT": [ 5326 ], + "C0": [ 472 ], + "Z": [ 5331 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5328 ], + "D1": [ 5329 ], + "SD": [ 5083 ], + "Z": [ 5332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5330 ], + "D1": [ 5331 ], + "SD": [ 5083 ], + "Z": [ 5333 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5332 ], + "D1": [ 5333 ], + "SD": [ 476 ], + "Z": [ 5309 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5334 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5335 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5334 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5336 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5334 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5337 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5334 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5338 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5334 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5339 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5334 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5340 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5334 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5334 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5342 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5336 ], + "BLUT": [ 5335 ], + "C0": [ 472 ], + "Z": [ 5343 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5338 ], + "BLUT": [ 5337 ], + "C0": [ 472 ], + "Z": [ 5344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5340 ], + "BLUT": [ 5339 ], + "C0": [ 472 ], + "Z": [ 5345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5342 ], + "BLUT": [ 5341 ], + "C0": [ 472 ], + "Z": [ 5346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5343 ], + "D1": [ 5344 ], + "SD": [ 480 ], + "Z": [ 5347 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5345 ], + "D1": [ 5346 ], + "SD": [ 480 ], + "Z": [ 5348 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5347 ], + "D1": [ 5348 ], + "SD": [ 476 ], + "Z": [ 5310 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5349 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5349 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5351 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5349 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5352 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5349 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5353 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5349 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5354 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5349 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5355 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5349 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5356 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5349 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5357 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5351 ], + "BLUT": [ 5350 ], + "C0": [ 472 ], + "Z": [ 5358 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5353 ], + "BLUT": [ 5352 ], + "C0": [ 472 ], + "Z": [ 5359 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5355 ], + "BLUT": [ 5354 ], + "C0": [ 472 ], + "Z": [ 5360 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5357 ], + "BLUT": [ 5356 ], + "C0": [ 472 ], + "Z": [ 5361 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5358 ], + "D1": [ 5359 ], + "SD": [ 5082 ], + "Z": [ 5362 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5360 ], + "D1": [ 5361 ], + "SD": [ 5082 ], + "Z": [ 5363 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5362 ], + "D1": [ 5363 ], + "SD": [ 476 ], + "Z": [ 5311 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5364 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5365 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5364 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5366 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5364 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5367 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5364 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5368 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5364 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5369 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5364 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5370 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5364 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5364 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5372 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5366 ], + "BLUT": [ 5365 ], + "C0": [ 472 ], + "Z": [ 5373 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5368 ], + "BLUT": [ 5367 ], + "C0": [ 472 ], + "Z": [ 5374 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5370 ], + "BLUT": [ 5369 ], + "C0": [ 472 ], + "Z": [ 5375 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5372 ], + "BLUT": [ 5371 ], + "C0": [ 472 ], + "Z": [ 5376 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5373 ], + "D1": [ 5374 ], + "SD": [ 5377 ], + "Z": [ 5378 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5375 ], + "D1": [ 5376 ], + "SD": [ 5377 ], + "Z": [ 5379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5378 ], + "D1": [ 5379 ], + "SD": [ 476 ], + "Z": [ 416 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40002": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5116 ], + "B": [ 5125 ], + "C": [ 5380 ], + "D": [ "0" ], + "Z": [ 5377 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40003": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 501 ], + "B": [ 500 ], + "C": [ 518 ], + "D": [ "0" ], + "Z": [ 5380 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5381 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5382 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5381 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5383 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5381 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5381 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5385 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5381 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5386 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5381 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5387 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5381 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5381 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5389 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5383 ], + "BLUT": [ 5382 ], + "C0": [ 472 ], + "Z": [ 5390 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5385 ], + "BLUT": [ 5384 ], + "C0": [ 472 ], + "Z": [ 5391 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5387 ], + "BLUT": [ 5386 ], + "C0": [ 472 ], + "Z": [ 5392 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5389 ], + "BLUT": [ 5388 ], + "C0": [ 472 ], + "Z": [ 5393 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5390 ], + "D1": [ 5391 ], + "SD": [ 5394 ], + "Z": [ 5395 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5392 ], + "D1": [ 5393 ], + "SD": [ 5394 ], + "Z": [ 5396 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5395 ], + "D1": [ 5396 ], + "SD": [ 476 ], + "Z": [ 417 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40005": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5050 ], + "B": [ 4914 ], + "C": [ 5380 ], + "D": [ "0" ], + "Z": [ 5394 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5397 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5398 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5397 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5399 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5397 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5400 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5397 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5401 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5397 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5402 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5397 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5403 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5397 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5404 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5397 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5405 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5399 ], + "BLUT": [ 5398 ], + "C0": [ 472 ], + "Z": [ 5406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5401 ], + "BLUT": [ 5400 ], + "C0": [ 472 ], + "Z": [ 5407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5403 ], + "BLUT": [ 5402 ], + "C0": [ 472 ], + "Z": [ 5408 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5405 ], + "BLUT": [ 5404 ], + "C0": [ 472 ], + "Z": [ 5409 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5406 ], + "D1": [ 5407 ], + "SD": [ 5081 ], + "Z": [ 5410 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5408 ], + "D1": [ 5409 ], + "SD": [ 5081 ], + "Z": [ 5411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5410 ], + "D1": [ 5411 ], + "SD": [ 476 ], + "Z": [ 5318 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5412 ], + "B": [ 5413 ], + "C": [ 5414 ], + "D": [ 5415 ], + "Z": [ 5416 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5412 ], + "B": [ 5413 ], + "C": [ 5414 ], + "D": [ 5415 ], + "Z": [ 5417 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5412 ], + "B": [ 5413 ], + "C": [ 5414 ], + "D": [ 5415 ], + "Z": [ 5418 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5412 ], + "B": [ 5413 ], + "C": [ 5414 ], + "D": [ 5415 ], + "Z": [ 5419 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5412 ], + "B": [ 5413 ], + "C": [ 5414 ], + "D": [ 5415 ], + "Z": [ 5420 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5412 ], + "B": [ 5413 ], + "C": [ 5414 ], + "D": [ 5415 ], + "Z": [ 5421 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5412 ], + "B": [ 5413 ], + "C": [ 5414 ], + "D": [ 5415 ], + "Z": [ 5422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5412 ], + "B": [ 5413 ], + "C": [ 5414 ], + "D": [ 5415 ], + "Z": [ 5423 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5417 ], + "BLUT": [ 5416 ], + "C0": [ 5424 ], + "Z": [ 5425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5419 ], + "BLUT": [ 5418 ], + "C0": [ 5424 ], + "Z": [ 5426 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5421 ], + "BLUT": [ 5420 ], + "C0": [ 5424 ], + "Z": [ 5427 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5423 ], + "BLUT": [ 5422 ], + "C0": [ 5424 ], + "Z": [ 5428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5425 ], + "D1": [ 5426 ], + "SD": [ 5429 ], + "Z": [ 5430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5427 ], + "D1": [ 5428 ], + "SD": [ 5429 ], + "Z": [ 5431 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5430 ], + "D1": [ 5431 ], + "SD": [ 5432 ], + "Z": [ 440 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5433 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5434 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5433 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5435 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5433 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5436 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5433 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5437 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5433 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5438 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5433 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5439 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5433 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5440 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5433 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5441 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5435 ], + "BLUT": [ 5434 ], + "C0": [ 472 ], + "Z": [ 5442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5437 ], + "BLUT": [ 5436 ], + "C0": [ 472 ], + "Z": [ 5443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5439 ], + "BLUT": [ 5438 ], + "C0": [ 472 ], + "Z": [ 5444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5441 ], + "BLUT": [ 5440 ], + "C0": [ 472 ], + "Z": [ 5445 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5442 ], + "D1": [ 5443 ], + "SD": [ 5446 ], + "Z": [ 5447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5444 ], + "D1": [ 5445 ], + "SD": [ 5446 ], + "Z": [ 5448 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5447 ], + "D1": [ 5448 ], + "SD": [ 476 ], + "Z": [ 5432 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40009": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 499 ], + "B": [ 515 ], + "C": [ 5380 ], + "D": [ "0" ], + "Z": [ 5446 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5449 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5450 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5449 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5449 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5452 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5449 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5453 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5449 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5454 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5449 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5455 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5449 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5456 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5449 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5457 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5451 ], + "BLUT": [ 5450 ], + "C0": [ 472 ], + "Z": [ 5458 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5453 ], + "BLUT": [ 5452 ], + "C0": [ 472 ], + "Z": [ 5459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5455 ], + "BLUT": [ 5454 ], + "C0": [ 472 ], + "Z": [ 5460 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5457 ], + "BLUT": [ 5456 ], + "C0": [ 472 ], + "Z": [ 5461 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5458 ], + "D1": [ 5459 ], + "SD": [ 477 ], + "Z": [ 5462 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5460 ], + "D1": [ 5461 ], + "SD": [ 477 ], + "Z": [ 5463 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5462 ], + "D1": [ 5463 ], + "SD": [ 476 ], + "Z": [ 5412 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5464 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5464 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5466 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5464 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5467 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5464 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5468 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5464 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5469 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5464 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5470 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5464 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5471 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5464 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5472 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5466 ], + "BLUT": [ 5465 ], + "C0": [ 472 ], + "Z": [ 5473 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5468 ], + "BLUT": [ 5467 ], + "C0": [ 472 ], + "Z": [ 5474 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5470 ], + "BLUT": [ 5469 ], + "C0": [ 472 ], + "Z": [ 5475 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5472 ], + "BLUT": [ 5471 ], + "C0": [ 472 ], + "Z": [ 5476 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5473 ], + "D1": [ 5474 ], + "SD": [ 5140 ], + "Z": [ 5477 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5475 ], + "D1": [ 5476 ], + "SD": [ 5140 ], + "Z": [ 5478 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5477 ], + "D1": [ 5478 ], + "SD": [ 476 ], + "Z": [ 5413 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5480 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5481 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5483 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5484 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5485 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5486 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5487 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5481 ], + "BLUT": [ 5480 ], + "C0": [ 472 ], + "Z": [ 5488 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5483 ], + "BLUT": [ 5482 ], + "C0": [ 472 ], + "Z": [ 5489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5485 ], + "BLUT": [ 5484 ], + "C0": [ 472 ], + "Z": [ 5490 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5487 ], + "BLUT": [ 5486 ], + "C0": [ 472 ], + "Z": [ 5491 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5488 ], + "D1": [ 5489 ], + "SD": [ 5492 ], + "Z": [ 5493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5490 ], + "D1": [ 5491 ], + "SD": [ 5492 ], + "Z": [ 5494 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5493 ], + "D1": [ 5494 ], + "SD": [ 476 ], + "Z": [ 5414 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40013": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5180 ], + "B": [ 4861 ], + "C": [ 5380 ], + "D": [ "0" ], + "Z": [ 5492 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5495 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5496 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5495 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5497 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5495 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5498 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5495 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5499 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5495 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5500 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5495 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5501 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5495 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5502 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5495 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5503 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5497 ], + "BLUT": [ 5496 ], + "C0": [ 472 ], + "Z": [ 5504 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5499 ], + "BLUT": [ 5498 ], + "C0": [ 472 ], + "Z": [ 5505 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5501 ], + "BLUT": [ 5500 ], + "C0": [ 472 ], + "Z": [ 5506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5503 ], + "BLUT": [ 5502 ], + "C0": [ 472 ], + "Z": [ 5507 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5504 ], + "D1": [ 5505 ], + "SD": [ 5508 ], + "Z": [ 5509 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5506 ], + "D1": [ 5507 ], + "SD": [ 5508 ], + "Z": [ 5510 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5509 ], + "D1": [ 5510 ], + "SD": [ 476 ], + "Z": [ 5415 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40015": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5179 ], + "B": [ 5189 ], + "C": [ 5380 ], + "D": [ "0" ], + "Z": [ 5508 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5511 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5512 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5511 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5513 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5511 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5514 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5511 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5515 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5511 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5511 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5511 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5518 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5511 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5519 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5513 ], + "BLUT": [ 5512 ], + "C0": [ 472 ], + "Z": [ 5520 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5515 ], + "BLUT": [ 5514 ], + "C0": [ 472 ], + "Z": [ 5521 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5517 ], + "BLUT": [ 5516 ], + "C0": [ 472 ], + "Z": [ 5522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5519 ], + "BLUT": [ 5518 ], + "C0": [ 472 ], + "Z": [ 5523 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5520 ], + "D1": [ 5521 ], + "SD": [ 5021 ], + "Z": [ 5524 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5522 ], + "D1": [ 5523 ], + "SD": [ 5021 ], + "Z": [ 5525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5524 ], + "D1": [ 5525 ], + "SD": [ 476 ], + "Z": [ 5424 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5526 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5527 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5526 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5528 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5526 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5529 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5526 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5530 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5526 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5526 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5526 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5533 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5526 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5528 ], + "BLUT": [ 5527 ], + "C0": [ 472 ], + "Z": [ 5535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5530 ], + "BLUT": [ 5529 ], + "C0": [ 472 ], + "Z": [ 5536 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5532 ], + "BLUT": [ 5531 ], + "C0": [ 472 ], + "Z": [ 5537 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5534 ], + "BLUT": [ 5533 ], + "C0": [ 472 ], + "Z": [ 5538 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5535 ], + "D1": [ 5536 ], + "SD": [ 489 ], + "Z": [ 5539 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5537 ], + "D1": [ 5538 ], + "SD": [ 489 ], + "Z": [ 5540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5539 ], + "D1": [ 5540 ], + "SD": [ 476 ], + "Z": [ 5429 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40018.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5541 ], + "B": [ 5542 ], + "C": [ 5543 ], + "D": [ 479 ], + "Z": [ 5544 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40018.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5541 ], + "B": [ 5542 ], + "C": [ 5543 ], + "D": [ 479 ], + "Z": [ 5545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40018.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5545 ], + "BLUT": [ 5544 ], + "C0": [ 469 ], + "Z": [ 445 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40019": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5049 ], + "B": [ 4909 ], + "C": [ 5380 ], + "D": [ "0" ], + "Z": [ 5543 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5546 ], + "B": [ 5547 ], + "C": [ 5548 ], + "D": [ 5549 ], + "Z": [ 5550 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5546 ], + "B": [ 5547 ], + "C": [ 5548 ], + "D": [ 5549 ], + "Z": [ 5551 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5546 ], + "B": [ 5547 ], + "C": [ 5548 ], + "D": [ 5549 ], + "Z": [ 5552 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5546 ], + "B": [ 5547 ], + "C": [ 5548 ], + "D": [ 5549 ], + "Z": [ 5553 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5551 ], + "BLUT": [ 5550 ], + "C0": [ 5554 ], + "Z": [ 5555 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5553 ], + "BLUT": [ 5552 ], + "C0": [ 5554 ], + "Z": [ 5556 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5555 ], + "D1": [ 5556 ], + "SD": [ 5557 ], + "Z": [ 448 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5558 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5559 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5558 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5560 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5558 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5558 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5558 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5563 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5558 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5558 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5558 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5566 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5560 ], + "BLUT": [ 5559 ], + "C0": [ 472 ], + "Z": [ 5567 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5562 ], + "BLUT": [ 5561 ], + "C0": [ 472 ], + "Z": [ 5568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5564 ], + "BLUT": [ 5563 ], + "C0": [ 472 ], + "Z": [ 5569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5566 ], + "BLUT": [ 5565 ], + "C0": [ 472 ], + "Z": [ 5570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5567 ], + "D1": [ 5568 ], + "SD": [ 5141 ], + "Z": [ 5571 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5569 ], + "D1": [ 5570 ], + "SD": [ 5141 ], + "Z": [ 5572 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5571 ], + "D1": [ 5572 ], + "SD": [ 476 ], + "Z": [ 5546 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5573 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5574 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5573 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5575 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5573 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5573 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5577 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5573 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5578 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5573 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5579 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5573 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5573 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5581 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5575 ], + "BLUT": [ 5574 ], + "C0": [ 472 ], + "Z": [ 5582 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5577 ], + "BLUT": [ 5576 ], + "C0": [ 472 ], + "Z": [ 5583 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5579 ], + "BLUT": [ 5578 ], + "C0": [ 472 ], + "Z": [ 5584 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5581 ], + "BLUT": [ 5580 ], + "C0": [ 472 ], + "Z": [ 5585 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5582 ], + "D1": [ 5583 ], + "SD": [ 5586 ], + "Z": [ 5587 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5584 ], + "D1": [ 5585 ], + "SD": [ 5586 ], + "Z": [ 5588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5587 ], + "D1": [ 5588 ], + "SD": [ 476 ], + "Z": [ 5547 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40023": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 498 ], + "B": [ 510 ], + "C": [ 5380 ], + "D": [ "0" ], + "Z": [ 5586 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5589 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5590 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5589 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5591 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5589 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5589 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5589 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5589 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5595 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5589 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5589 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5597 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5591 ], + "BLUT": [ 5590 ], + "C0": [ 472 ], + "Z": [ 5598 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5593 ], + "BLUT": [ 5592 ], + "C0": [ 472 ], + "Z": [ 5599 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5595 ], + "BLUT": [ 5594 ], + "C0": [ 472 ], + "Z": [ 5600 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5597 ], + "BLUT": [ 5596 ], + "C0": [ 472 ], + "Z": [ 5601 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5598 ], + "D1": [ 5599 ], + "SD": [ 5038 ], + "Z": [ 5602 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5600 ], + "D1": [ 5601 ], + "SD": [ 5038 ], + "Z": [ 5603 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5602 ], + "D1": [ 5603 ], + "SD": [ 476 ], + "Z": [ 5548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5604 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5605 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5604 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5606 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5604 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5607 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5604 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5608 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5604 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5604 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5610 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5604 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5611 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5604 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5612 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5606 ], + "BLUT": [ 5605 ], + "C0": [ 472 ], + "Z": [ 5613 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5608 ], + "BLUT": [ 5607 ], + "C0": [ 472 ], + "Z": [ 5614 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5610 ], + "BLUT": [ 5609 ], + "C0": [ 472 ], + "Z": [ 5615 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5612 ], + "BLUT": [ 5611 ], + "C0": [ 472 ], + "Z": [ 5616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5613 ], + "D1": [ 5614 ], + "SD": [ 494 ], + "Z": [ 5617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5615 ], + "D1": [ 5616 ], + "SD": [ 494 ], + "Z": [ 5618 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5617 ], + "D1": [ 5618 ], + "SD": [ 476 ], + "Z": [ 5554 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5619 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5619 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5619 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5622 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5619 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5623 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5619 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5619 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5619 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5619 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5621 ], + "BLUT": [ 5620 ], + "C0": [ 472 ], + "Z": [ 5628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5623 ], + "BLUT": [ 5622 ], + "C0": [ 472 ], + "Z": [ 5629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5625 ], + "BLUT": [ 5624 ], + "C0": [ 472 ], + "Z": [ 5630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5627 ], + "BLUT": [ 5626 ], + "C0": [ 472 ], + "Z": [ 5631 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5628 ], + "D1": [ 5629 ], + "SD": [ 478 ], + "Z": [ 5632 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5630 ], + "D1": [ 5631 ], + "SD": [ 478 ], + "Z": [ 5633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5632 ], + "D1": [ 5633 ], + "SD": [ 476 ], + "Z": [ 5549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5634 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5634 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5634 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5637 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5634 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5638 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5634 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5634 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5640 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5634 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5641 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5634 ], + "B": [ 473 ], + "C": [ 470 ], + "D": [ 471 ], + "Z": [ 5642 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5636 ], + "BLUT": [ 5635 ], + "C0": [ 472 ], + "Z": [ 5643 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5638 ], + "BLUT": [ 5637 ], + "C0": [ 472 ], + "Z": [ 5644 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5640 ], + "BLUT": [ 5639 ], + "C0": [ 472 ], + "Z": [ 5645 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5642 ], + "BLUT": [ 5641 ], + "C0": [ 472 ], + "Z": [ 5646 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5643 ], + "D1": [ 5644 ], + "SD": [ 5647 ], + "Z": [ 5648 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5645 ], + "D1": [ 5646 ], + "SD": [ 5647 ], + "Z": [ 5649 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5648 ], + "D1": [ 5649 ], + "SD": [ 476 ], + "Z": [ 5557 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40028": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5115 ], + "B": [ 5130 ], + "C": [ 5380 ], + "D": [ "0" ], + "Z": [ 5647 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40029": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5650 ], + "B": [ 5651 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 404 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40030": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 449 ], + "B": [ 451 ], + "C": [ 469 ], + "D": [ "0" ], + "Z": [ 5650 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40031": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5652 ], + "B": [ 5653 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 405 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40032": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 450 ], + "B": [ 452 ], + "C": [ 469 ], + "D": [ "0" ], + "Z": [ 5652 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40033": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 466 ], + "B": [ 5654 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5655 ], + "B": [ 5549 ], + "C": [ 5656 ], + "D": [ 5657 ], + "Z": [ 5658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5655 ], + "B": [ 5549 ], + "C": [ 5656 ], + "D": [ 5657 ], + "Z": [ 5659 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5655 ], + "B": [ 5549 ], + "C": [ 5656 ], + "D": [ 5657 ], + "Z": [ 5660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5655 ], + "B": [ 5549 ], + "C": [ 5656 ], + "D": [ 5657 ], + "Z": [ 5661 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5659 ], + "BLUT": [ 5658 ], + "C0": [ 424 ], + "Z": [ 5662 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5661 ], + "BLUT": [ 5660 ], + "C0": [ 424 ], + "Z": [ 5663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5662 ], + "D1": [ 5663 ], + "SD": [ 427 ], + "Z": [ 412 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40035": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5227 ], + "B": [ 5024 ], + "C": [ 469 ], + "D": [ "0" ], + "Z": [ 5655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5413 ], + "B": [ 5547 ], + "C": [ 5664 ], + "D": [ 5665 ], + "Z": [ 5666 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5413 ], + "B": [ 5547 ], + "C": [ 5664 ], + "D": [ 5665 ], + "Z": [ 5667 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5413 ], + "B": [ 5547 ], + "C": [ 5664 ], + "D": [ 5665 ], + "Z": [ 5668 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5413 ], + "B": [ 5547 ], + "C": [ 5664 ], + "D": [ 5665 ], + "Z": [ 5669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5667 ], + "BLUT": [ 5666 ], + "C0": [ 424 ], + "Z": [ 5670 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5669 ], + "BLUT": [ 5668 ], + "C0": [ 424 ], + "Z": [ 5671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5670 ], + "D1": [ 5671 ], + "SD": [ 427 ], + "Z": [ 415 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5672 ], + "B": [ 5673 ], + "C": [ 5674 ], + "D": [ 5675 ], + "Z": [ 5676 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5672 ], + "B": [ 5673 ], + "C": [ 5674 ], + "D": [ 5675 ], + "Z": [ 5677 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5672 ], + "B": [ 5673 ], + "C": [ 5674 ], + "D": [ 5675 ], + "Z": [ 5678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5672 ], + "B": [ 5673 ], + "C": [ 5674 ], + "D": [ 5675 ], + "Z": [ 5679 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5672 ], + "B": [ 5673 ], + "C": [ 5674 ], + "D": [ 5675 ], + "Z": [ 5680 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5672 ], + "B": [ 5673 ], + "C": [ 5674 ], + "D": [ 5675 ], + "Z": [ 5681 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5672 ], + "B": [ 5673 ], + "C": [ 5674 ], + "D": [ 5675 ], + "Z": [ 5682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5672 ], + "B": [ 5673 ], + "C": [ 5674 ], + "D": [ 5675 ], + "Z": [ 5683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5677 ], + "BLUT": [ 5676 ], + "C0": [ 5684 ], + "Z": [ 5685 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5679 ], + "BLUT": [ 5678 ], + "C0": [ 5684 ], + "Z": [ 5686 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5681 ], + "BLUT": [ 5680 ], + "C0": [ 5684 ], + "Z": [ 5687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5683 ], + "BLUT": [ 5682 ], + "C0": [ 5684 ], + "Z": [ 5688 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5685 ], + "D1": [ 5686 ], + "SD": [ 5689 ], + "Z": [ 5690 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5687 ], + "D1": [ 5688 ], + "SD": [ 5689 ], + "Z": [ 5691 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5690 ], + "D1": [ 5691 ], + "SD": [ 5692 ], + "Z": [ 385 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40038": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5310 ], + "B": [ 5693 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5672 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40039": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5414 ], + "B": [ 5694 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40040": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5548 ], + "B": [ 5695 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40041": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5412 ], + "B": [ 5696 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40042": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5697 ], + "B": [ 5698 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40043": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5541 ], + "B": [ 5543 ], + "C": [ 469 ], + "D": [ "0" ], + "Z": [ 5697 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40044": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5699 ], + "B": [ 5700 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5689 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40045": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5542 ], + "B": [ 479 ], + "C": [ 469 ], + "D": [ "0" ], + "Z": [ 5699 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40046": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5424 ], + "B": [ 5701 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 400 ], + "B": [ 5702 ], + "C": [ 5703 ], + "D": [ 5704 ], + "Z": [ 5705 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 400 ], + "B": [ 5702 ], + "C": [ 5703 ], + "D": [ 5704 ], + "Z": [ 5706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 400 ], + "B": [ 5702 ], + "C": [ 5703 ], + "D": [ 5704 ], + "Z": [ 5707 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 400 ], + "B": [ 5702 ], + "C": [ 5703 ], + "D": [ 5704 ], + "Z": [ 5708 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5706 ], + "BLUT": [ 5705 ], + "C0": [ 5709 ], + "Z": [ 5710 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5708 ], + "BLUT": [ 5707 ], + "C0": [ 5709 ], + "Z": [ 5711 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5710 ], + "D1": [ 5711 ], + "SD": [ 5712 ], + "Z": [ 386 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5713 ], + "B": [ 5432 ], + "C": [ 5714 ], + "D": [ 5715 ], + "Z": [ 5716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5713 ], + "B": [ 5432 ], + "C": [ 5714 ], + "D": [ 5715 ], + "Z": [ 5717 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5713 ], + "B": [ 5432 ], + "C": [ 5714 ], + "D": [ 5715 ], + "Z": [ 5718 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5713 ], + "B": [ 5432 ], + "C": [ 5714 ], + "D": [ 5715 ], + "Z": [ 5719 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5717 ], + "BLUT": [ 5716 ], + "C0": [ 424 ], + "Z": [ 5720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5719 ], + "BLUT": [ 5718 ], + "C0": [ 424 ], + "Z": [ 5721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5720 ], + "D1": [ 5721 ], + "SD": [ 427 ], + "Z": [ 5703 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40049": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5226 ], + "B": [ 5150 ], + "C": [ 469 ], + "D": [ "0" ], + "Z": [ 5713 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5415 ], + "B": [ 5429 ], + "C": [ 5722 ], + "D": [ 5723 ], + "Z": [ 5724 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5415 ], + "B": [ 5429 ], + "C": [ 5722 ], + "D": [ 5723 ], + "Z": [ 5725 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5415 ], + "B": [ 5429 ], + "C": [ 5722 ], + "D": [ 5723 ], + "Z": [ 5726 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5415 ], + "B": [ 5429 ], + "C": [ 5722 ], + "D": [ 5723 ], + "Z": [ 5727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5725 ], + "BLUT": [ 5724 ], + "C0": [ 424 ], + "Z": [ 5728 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5727 ], + "BLUT": [ 5726 ], + "C0": [ 424 ], + "Z": [ 5729 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5728 ], + "D1": [ 5729 ], + "SD": [ 427 ], + "Z": [ 5704 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40051": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5246 ], + "B": [ 5730 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 400 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40052": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5231 ], + "B": [ 5731 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40053": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5557 ], + "B": [ 5732 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5709 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40054": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5318 ], + "B": [ 5733 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5712 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40055.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5734 ], + "B": [ 5735 ], + "C": [ 5736 ], + "D": [ 5737 ], + "Z": [ 5738 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40055.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5734 ], + "B": [ 5735 ], + "C": [ 5736 ], + "D": [ 5737 ], + "Z": [ 5739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40055.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5739 ], + "BLUT": [ 5738 ], + "C0": [ 5740 ], + "Z": [ 395 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40056": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5546 ], + "B": [ 5741 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40057": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 461 ], + "B": [ 5742 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5735 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5232 ], + "B": [ 5241 ], + "C": [ 5743 ], + "D": [ 5744 ], + "Z": [ 5745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5232 ], + "B": [ 5241 ], + "C": [ 5743 ], + "D": [ 5744 ], + "Z": [ 5746 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5232 ], + "B": [ 5241 ], + "C": [ 5743 ], + "D": [ 5744 ], + "Z": [ 5747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5232 ], + "B": [ 5241 ], + "C": [ 5743 ], + "D": [ 5744 ], + "Z": [ 5748 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5746 ], + "BLUT": [ 5745 ], + "C0": [ 424 ], + "Z": [ 5749 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5748 ], + "BLUT": [ 5747 ], + "C0": [ 424 ], + "Z": [ 5750 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5749 ], + "D1": [ 5750 ], + "SD": [ 427 ], + "Z": [ 5736 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 34952 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5751 ], + "B": [ 5554 ], + "C": [ 5752 ], + "D": [ 5753 ], + "Z": [ 5754 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61440 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5751 ], + "B": [ 5554 ], + "C": [ 5752 ], + "D": [ 5753 ], + "Z": [ 5755 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61440 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5751 ], + "B": [ 5554 ], + "C": [ 5752 ], + "D": [ 5753 ], + "Z": [ 5756 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61440 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5751 ], + "B": [ 5554 ], + "C": [ 5752 ], + "D": [ 5753 ], + "Z": [ 5757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5755 ], + "BLUT": [ 5754 ], + "C0": [ 424 ], + "Z": [ 5758 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5757 ], + "BLUT": [ 5756 ], + "C0": [ 424 ], + "Z": [ 5759 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5758 ], + "D1": [ 5759 ], + "SD": [ 427 ], + "Z": [ 5737 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40060": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5230 ], + "B": [ 5098 ], + "C": [ 469 ], + "D": [ "0" ], + "Z": [ 5751 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5309 ], + "B": [ 5311 ], + "C": [ 5760 ], + "D": [ 5761 ], + "Z": [ 5762 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5309 ], + "B": [ 5311 ], + "C": [ 5760 ], + "D": [ 5761 ], + "Z": [ 5763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5309 ], + "B": [ 5311 ], + "C": [ 5760 ], + "D": [ 5761 ], + "Z": [ 5764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5309 ], + "B": [ 5311 ], + "C": [ 5760 ], + "D": [ 5761 ], + "Z": [ 5765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5763 ], + "BLUT": [ 5762 ], + "C0": [ 424 ], + "Z": [ 5766 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5765 ], + "BLUT": [ 5764 ], + "C0": [ 424 ], + "Z": [ 5767 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5766 ], + "D1": [ 5767 ], + "SD": [ 427 ], + "Z": [ 5740 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5768 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5769 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5768 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5770 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5768 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5768 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5772 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5768 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5773 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5768 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5774 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5768 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5775 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5768 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5776 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5770 ], + "BLUT": [ 5769 ], + "C0": [ 395 ], + "Z": [ 5777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5772 ], + "BLUT": [ 5771 ], + "C0": [ 395 ], + "Z": [ 5778 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5774 ], + "BLUT": [ 5773 ], + "C0": [ 395 ], + "Z": [ 5779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5776 ], + "BLUT": [ 5775 ], + "C0": [ 395 ], + "Z": [ 5780 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5777 ], + "D1": [ 5778 ], + "SD": [ 5702 ], + "Z": [ 5781 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5779 ], + "D1": [ 5780 ], + "SD": [ 5702 ], + "Z": [ 5782 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5781 ], + "D1": [ 5782 ], + "SD": [ 403 ], + "Z": [ 380 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5783 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5784 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5783 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5783 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5786 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5783 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5787 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5783 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5788 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5783 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5789 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5783 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5790 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5783 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5791 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5785 ], + "BLUT": [ 5784 ], + "C0": [ 395 ], + "Z": [ 5792 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5787 ], + "BLUT": [ 5786 ], + "C0": [ 395 ], + "Z": [ 5793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5789 ], + "BLUT": [ 5788 ], + "C0": [ 395 ], + "Z": [ 5794 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5791 ], + "BLUT": [ 5790 ], + "C0": [ 395 ], + "Z": [ 5795 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5792 ], + "D1": [ 5793 ], + "SD": [ 5709 ], + "Z": [ 5796 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5794 ], + "D1": [ 5795 ], + "SD": [ 5709 ], + "Z": [ 5797 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5796 ], + "D1": [ 5797 ], + "SD": [ 403 ], + "Z": [ 381 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5798 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5799 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5798 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5800 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5798 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5801 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5798 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5802 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5798 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5803 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5798 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5804 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5798 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5805 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5798 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5806 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5800 ], + "BLUT": [ 5799 ], + "C0": [ 395 ], + "Z": [ 5807 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5802 ], + "BLUT": [ 5801 ], + "C0": [ 395 ], + "Z": [ 5808 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5804 ], + "BLUT": [ 5803 ], + "C0": [ 395 ], + "Z": [ 5809 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5806 ], + "BLUT": [ 5805 ], + "C0": [ 395 ], + "Z": [ 5810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5807 ], + "D1": [ 5808 ], + "SD": [ 5811 ], + "Z": [ 5812 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5809 ], + "D1": [ 5810 ], + "SD": [ 5811 ], + "Z": [ 5813 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5812 ], + "D1": [ 5813 ], + "SD": [ 403 ], + "Z": [ 382 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40065": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5547 ], + "B": [ 5665 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40066": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5814 ], + "B": [ 5815 ], + "C": [ 5816 ], + "D": [ 5817 ], + "Z": [ 358 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5818 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5819 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5818 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5820 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5818 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5821 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5818 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5822 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5818 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5823 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5818 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5824 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5818 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5825 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5818 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5826 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5820 ], + "BLUT": [ 5819 ], + "C0": [ 395 ], + "Z": [ 5827 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5822 ], + "BLUT": [ 5821 ], + "C0": [ 395 ], + "Z": [ 5828 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5824 ], + "BLUT": [ 5823 ], + "C0": [ 395 ], + "Z": [ 5829 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5826 ], + "BLUT": [ 5825 ], + "C0": [ 395 ], + "Z": [ 5830 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5827 ], + "D1": [ 5828 ], + "SD": [ 5831 ], + "Z": [ 5832 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5829 ], + "D1": [ 5830 ], + "SD": [ 5831 ], + "Z": [ 5833 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5832 ], + "D1": [ 5833 ], + "SD": [ 403 ], + "Z": [ 5814 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40068": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5432 ], + "B": [ 5714 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5831 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5834 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5835 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5834 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5836 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5834 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5837 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5834 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5838 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5834 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5839 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5834 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5840 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5834 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5841 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5834 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5842 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5836 ], + "BLUT": [ 5835 ], + "C0": [ 395 ], + "Z": [ 5843 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5838 ], + "BLUT": [ 5837 ], + "C0": [ 395 ], + "Z": [ 5844 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5840 ], + "BLUT": [ 5839 ], + "C0": [ 395 ], + "Z": [ 5845 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5842 ], + "BLUT": [ 5841 ], + "C0": [ 395 ], + "Z": [ 5846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5843 ], + "D1": [ 5844 ], + "SD": [ 5847 ], + "Z": [ 5848 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5845 ], + "D1": [ 5846 ], + "SD": [ 5847 ], + "Z": [ 5849 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5848 ], + "D1": [ 5849 ], + "SD": [ 403 ], + "Z": [ 5815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40070": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5713 ], + "B": [ 5715 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5847 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5850 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5851 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5850 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5852 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5850 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5850 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5854 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5850 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5855 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5850 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5856 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5850 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5857 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5850 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5852 ], + "BLUT": [ 5851 ], + "C0": [ 395 ], + "Z": [ 5859 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5854 ], + "BLUT": [ 5853 ], + "C0": [ 395 ], + "Z": [ 5860 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5856 ], + "BLUT": [ 5855 ], + "C0": [ 395 ], + "Z": [ 5861 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5858 ], + "BLUT": [ 5857 ], + "C0": [ 395 ], + "Z": [ 5862 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5859 ], + "D1": [ 5860 ], + "SD": [ 5863 ], + "Z": [ 5864 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5861 ], + "D1": [ 5862 ], + "SD": [ 5863 ], + "Z": [ 5865 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5864 ], + "D1": [ 5865 ], + "SD": [ 403 ], + "Z": [ 5816 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40072": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5549 ], + "B": [ 5657 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5863 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5866 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5867 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5866 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5868 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5866 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5869 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5866 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5866 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5871 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5866 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5872 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5866 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5873 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5866 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5868 ], + "BLUT": [ 5867 ], + "C0": [ 395 ], + "Z": [ 5875 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5870 ], + "BLUT": [ 5869 ], + "C0": [ 395 ], + "Z": [ 5876 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5872 ], + "BLUT": [ 5871 ], + "C0": [ 395 ], + "Z": [ 5877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5874 ], + "BLUT": [ 5873 ], + "C0": [ 395 ], + "Z": [ 5878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5875 ], + "D1": [ 5876 ], + "SD": [ 5879 ], + "Z": [ 5880 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5877 ], + "D1": [ 5878 ], + "SD": [ 5879 ], + "Z": [ 5881 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5880 ], + "D1": [ 5881 ], + "SD": [ 403 ], + "Z": [ 5817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40074": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5415 ], + "B": [ 5723 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5879 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40075.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5882 ], + "B": [ 5883 ], + "C": [ 5884 ], + "D": [ 5885 ], + "Z": [ 5886 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40075.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5882 ], + "B": [ 5883 ], + "C": [ 5884 ], + "D": [ 5885 ], + "Z": [ 5887 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40075.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5887 ], + "BLUT": [ 5886 ], + "C0": [ 5888 ], + "Z": [ 359 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5889 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5890 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5889 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5891 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5889 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5889 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5889 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5894 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5889 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5895 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5889 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5896 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5889 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5897 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5891 ], + "BLUT": [ 5890 ], + "C0": [ 395 ], + "Z": [ 5898 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5893 ], + "BLUT": [ 5892 ], + "C0": [ 395 ], + "Z": [ 5899 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5895 ], + "BLUT": [ 5894 ], + "C0": [ 395 ], + "Z": [ 5900 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5897 ], + "BLUT": [ 5896 ], + "C0": [ 395 ], + "Z": [ 5901 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5898 ], + "D1": [ 5899 ], + "SD": [ 5902 ], + "Z": [ 5903 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5900 ], + "D1": [ 5901 ], + "SD": [ 5902 ], + "Z": [ 5904 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5903 ], + "D1": [ 5904 ], + "SD": [ 403 ], + "Z": [ 5882 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40077": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5429 ], + "B": [ 5722 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5902 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5905 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5906 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5905 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5907 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5905 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5908 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5905 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5909 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5905 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5910 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5905 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5911 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5905 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5912 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5905 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5913 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5907 ], + "BLUT": [ 5906 ], + "C0": [ 395 ], + "Z": [ 5914 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5909 ], + "BLUT": [ 5908 ], + "C0": [ 395 ], + "Z": [ 5915 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5911 ], + "BLUT": [ 5910 ], + "C0": [ 395 ], + "Z": [ 5916 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5913 ], + "BLUT": [ 5912 ], + "C0": [ 395 ], + "Z": [ 5917 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5914 ], + "D1": [ 5915 ], + "SD": [ 5918 ], + "Z": [ 5919 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5916 ], + "D1": [ 5917 ], + "SD": [ 5918 ], + "Z": [ 5920 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5919 ], + "D1": [ 5920 ], + "SD": [ 403 ], + "Z": [ 5883 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40079": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5655 ], + "B": [ 5656 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5918 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5921 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5922 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5921 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5923 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5921 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5924 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5921 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5925 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5921 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5926 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5921 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5927 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5921 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5928 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5921 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5929 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5923 ], + "BLUT": [ 5922 ], + "C0": [ 395 ], + "Z": [ 5930 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5925 ], + "BLUT": [ 5924 ], + "C0": [ 395 ], + "Z": [ 5931 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5927 ], + "BLUT": [ 5926 ], + "C0": [ 395 ], + "Z": [ 5932 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5929 ], + "BLUT": [ 5928 ], + "C0": [ 395 ], + "Z": [ 5933 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5930 ], + "D1": [ 5931 ], + "SD": [ 5934 ], + "Z": [ 5935 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5932 ], + "D1": [ 5933 ], + "SD": [ 5934 ], + "Z": [ 5936 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5935 ], + "D1": [ 5936 ], + "SD": [ 403 ], + "Z": [ 5884 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40081": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5241 ], + "B": [ 5743 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5934 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5937 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5938 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5937 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5939 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5937 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5940 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5937 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5941 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5937 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5942 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5937 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5943 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5937 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5944 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5937 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5945 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5939 ], + "BLUT": [ 5938 ], + "C0": [ 395 ], + "Z": [ 5946 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5941 ], + "BLUT": [ 5940 ], + "C0": [ 395 ], + "Z": [ 5947 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5943 ], + "BLUT": [ 5942 ], + "C0": [ 395 ], + "Z": [ 5948 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5945 ], + "BLUT": [ 5944 ], + "C0": [ 395 ], + "Z": [ 5949 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5946 ], + "D1": [ 5947 ], + "SD": [ 5735 ], + "Z": [ 5950 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5948 ], + "D1": [ 5949 ], + "SD": [ 5735 ], + "Z": [ 5951 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5950 ], + "D1": [ 5951 ], + "SD": [ 403 ], + "Z": [ 5885 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5952 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5953 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5952 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5954 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5952 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5955 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5952 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5956 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5952 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5957 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5952 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5958 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5952 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5959 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5952 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5960 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5954 ], + "BLUT": [ 5953 ], + "C0": [ 395 ], + "Z": [ 5961 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5956 ], + "BLUT": [ 5955 ], + "C0": [ 395 ], + "Z": [ 5962 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5958 ], + "BLUT": [ 5957 ], + "C0": [ 395 ], + "Z": [ 5963 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5960 ], + "BLUT": [ 5959 ], + "C0": [ 395 ], + "Z": [ 5964 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5961 ], + "D1": [ 5962 ], + "SD": [ 5965 ], + "Z": [ 5966 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5963 ], + "D1": [ 5964 ], + "SD": [ 5965 ], + "Z": [ 5967 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5966 ], + "D1": [ 5967 ], + "SD": [ 403 ], + "Z": [ 5888 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40084": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5232 ], + "B": [ 5744 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 5965 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5968 ], + "B": [ 5969 ], + "C": [ 353 ], + "D": [ 5970 ], + "Z": [ 5971 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5968 ], + "B": [ 5969 ], + "C": [ 353 ], + "D": [ 5970 ], + "Z": [ 5972 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5968 ], + "B": [ 5969 ], + "C": [ 353 ], + "D": [ 5970 ], + "Z": [ 5973 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5968 ], + "B": [ 5969 ], + "C": [ 353 ], + "D": [ 5970 ], + "Z": [ 5974 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5968 ], + "B": [ 5969 ], + "C": [ 353 ], + "D": [ 5970 ], + "Z": [ 5975 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5968 ], + "B": [ 5969 ], + "C": [ 353 ], + "D": [ 5970 ], + "Z": [ 5976 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5968 ], + "B": [ 5969 ], + "C": [ 353 ], + "D": [ 5970 ], + "Z": [ 5977 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5968 ], + "B": [ 5969 ], + "C": [ 353 ], + "D": [ 5970 ], + "Z": [ 5978 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5972 ], + "BLUT": [ 5971 ], + "C0": [ 5979 ], + "Z": [ 5980 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5974 ], + "BLUT": [ 5973 ], + "C0": [ 5979 ], + "Z": [ 5981 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5976 ], + "BLUT": [ 5975 ], + "C0": [ 5979 ], + "Z": [ 5982 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5978 ], + "BLUT": [ 5977 ], + "C0": [ 5979 ], + "Z": [ 5983 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5980 ], + "D1": [ 5981 ], + "SD": [ 5984 ], + "Z": [ 5985 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5982 ], + "D1": [ 5983 ], + "SD": [ 5984 ], + "Z": [ 5986 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5985 ], + "D1": [ 5986 ], + "SD": [ 354 ], + "Z": [ 360 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5987 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5988 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5987 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5989 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5987 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5990 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5987 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5991 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5987 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5992 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5987 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5993 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5987 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5994 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5987 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 5995 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5989 ], + "BLUT": [ 5988 ], + "C0": [ 395 ], + "Z": [ 5996 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5991 ], + "BLUT": [ 5990 ], + "C0": [ 395 ], + "Z": [ 5997 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5993 ], + "BLUT": [ 5992 ], + "C0": [ 395 ], + "Z": [ 5998 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5995 ], + "BLUT": [ 5994 ], + "C0": [ 395 ], + "Z": [ 5999 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5996 ], + "D1": [ 5997 ], + "SD": [ 5684 ], + "Z": [ 6000 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5998 ], + "D1": [ 5999 ], + "SD": [ 5684 ], + "Z": [ 6001 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6000 ], + "D1": [ 6001 ], + "SD": [ 403 ], + "Z": [ 5968 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6002 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6003 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6002 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6004 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6002 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6005 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6002 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6006 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6002 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6007 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6002 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6008 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6002 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6009 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6002 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6010 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6004 ], + "BLUT": [ 6003 ], + "C0": [ 395 ], + "Z": [ 6011 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6006 ], + "BLUT": [ 6005 ], + "C0": [ 395 ], + "Z": [ 6012 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6008 ], + "BLUT": [ 6007 ], + "C0": [ 395 ], + "Z": [ 6013 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6010 ], + "BLUT": [ 6009 ], + "C0": [ 395 ], + "Z": [ 6014 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6011 ], + "D1": [ 6012 ], + "SD": [ 5689 ], + "Z": [ 6015 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6013 ], + "D1": [ 6014 ], + "SD": [ 5689 ], + "Z": [ 6016 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6015 ], + "D1": [ 6016 ], + "SD": [ 403 ], + "Z": [ 5969 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6017 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6018 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6017 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6019 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6017 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6020 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6017 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6021 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6017 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6022 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6017 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6023 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6017 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6024 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6017 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6025 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6019 ], + "BLUT": [ 6018 ], + "C0": [ 395 ], + "Z": [ 6026 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6021 ], + "BLUT": [ 6020 ], + "C0": [ 395 ], + "Z": [ 6027 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6023 ], + "BLUT": [ 6022 ], + "C0": [ 395 ], + "Z": [ 6028 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6025 ], + "BLUT": [ 6024 ], + "C0": [ 395 ], + "Z": [ 6029 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6026 ], + "D1": [ 6027 ], + "SD": [ 5692 ], + "Z": [ 6030 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6028 ], + "D1": [ 6029 ], + "SD": [ 5692 ], + "Z": [ 6031 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6030 ], + "D1": [ 6031 ], + "SD": [ 403 ], + "Z": [ 353 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6032 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6033 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6032 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6034 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6032 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6035 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6032 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6036 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6032 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6037 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6032 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6038 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6032 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6039 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6032 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6040 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6034 ], + "BLUT": [ 6033 ], + "C0": [ 395 ], + "Z": [ 6041 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6036 ], + "BLUT": [ 6035 ], + "C0": [ 395 ], + "Z": [ 6042 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6038 ], + "BLUT": [ 6037 ], + "C0": [ 395 ], + "Z": [ 6043 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6040 ], + "BLUT": [ 6039 ], + "C0": [ 395 ], + "Z": [ 6044 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6041 ], + "D1": [ 6042 ], + "SD": [ 5674 ], + "Z": [ 6045 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6043 ], + "D1": [ 6044 ], + "SD": [ 5674 ], + "Z": [ 6046 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6045 ], + "D1": [ 6046 ], + "SD": [ 403 ], + "Z": [ 5970 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6047 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6048 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6047 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6049 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6047 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6050 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6047 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6051 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6047 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6052 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6047 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6053 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6047 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6054 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6047 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6055 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6049 ], + "BLUT": [ 6048 ], + "C0": [ 395 ], + "Z": [ 6056 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6051 ], + "BLUT": [ 6050 ], + "C0": [ 395 ], + "Z": [ 6057 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6053 ], + "BLUT": [ 6052 ], + "C0": [ 395 ], + "Z": [ 6058 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6055 ], + "BLUT": [ 6054 ], + "C0": [ 395 ], + "Z": [ 6059 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6056 ], + "D1": [ 6057 ], + "SD": [ 5673 ], + "Z": [ 6060 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6058 ], + "D1": [ 6059 ], + "SD": [ 5673 ], + "Z": [ 6061 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6060 ], + "D1": [ 6061 ], + "SD": [ 403 ], + "Z": [ 5979 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6062 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6063 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6062 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6064 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6062 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6065 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6062 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6066 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6062 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6067 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6062 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6068 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6062 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6069 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6062 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6070 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6064 ], + "BLUT": [ 6063 ], + "C0": [ 395 ], + "Z": [ 6071 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6066 ], + "BLUT": [ 6065 ], + "C0": [ 395 ], + "Z": [ 6072 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6068 ], + "BLUT": [ 6067 ], + "C0": [ 395 ], + "Z": [ 6073 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6070 ], + "BLUT": [ 6069 ], + "C0": [ 395 ], + "Z": [ 6074 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6071 ], + "D1": [ 6072 ], + "SD": [ 5672 ], + "Z": [ 6075 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6073 ], + "D1": [ 6074 ], + "SD": [ 5672 ], + "Z": [ 6076 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6075 ], + "D1": [ 6076 ], + "SD": [ 403 ], + "Z": [ 5984 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6077 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6078 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6077 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6079 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6077 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6080 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6077 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6081 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6077 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6082 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6077 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6083 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6077 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6084 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6077 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6085 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6079 ], + "BLUT": [ 6078 ], + "C0": [ 395 ], + "Z": [ 6086 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6081 ], + "BLUT": [ 6080 ], + "C0": [ 395 ], + "Z": [ 6087 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6083 ], + "BLUT": [ 6082 ], + "C0": [ 395 ], + "Z": [ 6088 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6085 ], + "BLUT": [ 6084 ], + "C0": [ 395 ], + "Z": [ 6089 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6086 ], + "D1": [ 6087 ], + "SD": [ 5675 ], + "Z": [ 6090 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6088 ], + "D1": [ 6089 ], + "SD": [ 5675 ], + "Z": [ 6091 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6090 ], + "D1": [ 6091 ], + "SD": [ 403 ], + "Z": [ 354 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6092 ], + "B": [ 6093 ], + "C": [ 6094 ], + "D": [ 6095 ], + "Z": [ 6096 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6092 ], + "B": [ 6093 ], + "C": [ 6094 ], + "D": [ 6095 ], + "Z": [ 6097 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6092 ], + "B": [ 6093 ], + "C": [ 6094 ], + "D": [ 6095 ], + "Z": [ 6098 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6092 ], + "B": [ 6093 ], + "C": [ 6094 ], + "D": [ 6095 ], + "Z": [ 6099 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6092 ], + "B": [ 6093 ], + "C": [ 6094 ], + "D": [ 6095 ], + "Z": [ 6100 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6092 ], + "B": [ 6093 ], + "C": [ 6094 ], + "D": [ 6095 ], + "Z": [ 6101 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6092 ], + "B": [ 6093 ], + "C": [ 6094 ], + "D": [ 6095 ], + "Z": [ 6102 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6092 ], + "B": [ 6093 ], + "C": [ 6094 ], + "D": [ 6095 ], + "Z": [ 6103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6097 ], + "BLUT": [ 6096 ], + "C0": [ 6104 ], + "Z": [ 6105 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6099 ], + "BLUT": [ 6098 ], + "C0": [ 6104 ], + "Z": [ 6106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6101 ], + "BLUT": [ 6100 ], + "C0": [ 6104 ], + "Z": [ 6107 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6103 ], + "BLUT": [ 6102 ], + "C0": [ 6104 ], + "Z": [ 6108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6105 ], + "D1": [ 6106 ], + "SD": [ 6109 ], + "Z": [ 6110 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6107 ], + "D1": [ 6108 ], + "SD": [ 6109 ], + "Z": [ 6111 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6110 ], + "D1": [ 6111 ], + "SD": [ 6112 ], + "Z": [ 361 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6113 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6114 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6113 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6115 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6113 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6116 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6113 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6117 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6113 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6118 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6113 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6119 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6113 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6120 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6113 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6121 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6115 ], + "BLUT": [ 6114 ], + "C0": [ 395 ], + "Z": [ 6122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6117 ], + "BLUT": [ 6116 ], + "C0": [ 395 ], + "Z": [ 6123 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6119 ], + "BLUT": [ 6118 ], + "C0": [ 395 ], + "Z": [ 6124 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6121 ], + "BLUT": [ 6120 ], + "C0": [ 395 ], + "Z": [ 6125 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6122 ], + "D1": [ 6123 ], + "SD": [ 6126 ], + "Z": [ 6127 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6124 ], + "D1": [ 6125 ], + "SD": [ 6126 ], + "Z": [ 6128 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6127 ], + "D1": [ 6128 ], + "SD": [ 403 ], + "Z": [ 6092 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40095": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 417 ], + "B": [ 419 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 6126 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6129 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6130 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6129 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6131 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6129 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6132 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6129 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6133 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6129 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6134 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6129 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6129 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6136 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6129 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6137 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6131 ], + "BLUT": [ 6130 ], + "C0": [ 395 ], + "Z": [ 6138 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6133 ], + "BLUT": [ 6132 ], + "C0": [ 395 ], + "Z": [ 6139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6135 ], + "BLUT": [ 6134 ], + "C0": [ 395 ], + "Z": [ 6140 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6137 ], + "BLUT": [ 6136 ], + "C0": [ 395 ], + "Z": [ 6141 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6138 ], + "D1": [ 6139 ], + "SD": [ 404 ], + "Z": [ 6142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6140 ], + "D1": [ 6141 ], + "SD": [ 404 ], + "Z": [ 6143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6142 ], + "D1": [ 6143 ], + "SD": [ 403 ], + "Z": [ 6093 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6144 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6145 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6144 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6146 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6144 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6147 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6144 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6148 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6144 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6149 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6144 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6150 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6144 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6151 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6144 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6152 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6146 ], + "BLUT": [ 6145 ], + "C0": [ 395 ], + "Z": [ 6153 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6148 ], + "BLUT": [ 6147 ], + "C0": [ 395 ], + "Z": [ 6154 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6150 ], + "BLUT": [ 6149 ], + "C0": [ 395 ], + "Z": [ 6155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6152 ], + "BLUT": [ 6151 ], + "C0": [ 395 ], + "Z": [ 6156 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6153 ], + "D1": [ 6154 ], + "SD": [ 405 ], + "Z": [ 6157 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6155 ], + "D1": [ 6156 ], + "SD": [ 405 ], + "Z": [ 6158 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6157 ], + "D1": [ 6158 ], + "SD": [ 403 ], + "Z": [ 6094 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6159 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6160 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6159 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6159 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6162 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6159 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6159 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6159 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6165 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6159 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6166 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6159 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6167 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6161 ], + "BLUT": [ 6160 ], + "C0": [ 395 ], + "Z": [ 6168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6163 ], + "BLUT": [ 6162 ], + "C0": [ 395 ], + "Z": [ 6169 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6165 ], + "BLUT": [ 6164 ], + "C0": [ 395 ], + "Z": [ 6170 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6167 ], + "BLUT": [ 6166 ], + "C0": [ 395 ], + "Z": [ 6171 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6168 ], + "D1": [ 6169 ], + "SD": [ 406 ], + "Z": [ 6172 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6170 ], + "D1": [ 6171 ], + "SD": [ 406 ], + "Z": [ 6173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6172 ], + "D1": [ 6173 ], + "SD": [ 403 ], + "Z": [ 6095 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6174 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6175 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6174 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6176 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6174 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6177 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6174 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6174 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6179 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6174 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6180 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6174 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6181 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6174 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6182 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6176 ], + "BLUT": [ 6175 ], + "C0": [ 395 ], + "Z": [ 6183 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6178 ], + "BLUT": [ 6177 ], + "C0": [ 395 ], + "Z": [ 6184 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6180 ], + "BLUT": [ 6179 ], + "C0": [ 395 ], + "Z": [ 6185 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6182 ], + "BLUT": [ 6181 ], + "C0": [ 395 ], + "Z": [ 6186 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6183 ], + "D1": [ 6184 ], + "SD": [ 6187 ], + "Z": [ 6188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6185 ], + "D1": [ 6186 ], + "SD": [ 6187 ], + "Z": [ 6189 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6188 ], + "D1": [ 6189 ], + "SD": [ 403 ], + "Z": [ 6104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40100": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 416 ], + "B": [ 418 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 6187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6190 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6190 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6192 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6190 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6193 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6190 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6194 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6190 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6195 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6190 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6196 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6190 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6197 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6190 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6198 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6192 ], + "BLUT": [ 6191 ], + "C0": [ 395 ], + "Z": [ 6199 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6194 ], + "BLUT": [ 6193 ], + "C0": [ 395 ], + "Z": [ 6200 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6196 ], + "BLUT": [ 6195 ], + "C0": [ 395 ], + "Z": [ 6201 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6198 ], + "BLUT": [ 6197 ], + "C0": [ 395 ], + "Z": [ 6202 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6199 ], + "D1": [ 6200 ], + "SD": [ 6203 ], + "Z": [ 6204 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6201 ], + "D1": [ 6202 ], + "SD": [ 6203 ], + "Z": [ 6205 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6204 ], + "D1": [ 6205 ], + "SD": [ 403 ], + "Z": [ 6109 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40102": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5413 ], + "B": [ 5664 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 6203 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6206 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6207 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6206 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6208 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6206 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6209 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6206 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6210 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6206 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6211 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6206 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6212 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6206 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6213 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6206 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6214 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6208 ], + "BLUT": [ 6207 ], + "C0": [ 395 ], + "Z": [ 6215 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6210 ], + "BLUT": [ 6209 ], + "C0": [ 395 ], + "Z": [ 6216 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6212 ], + "BLUT": [ 6211 ], + "C0": [ 395 ], + "Z": [ 6217 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6214 ], + "BLUT": [ 6213 ], + "C0": [ 395 ], + "Z": [ 6218 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6215 ], + "D1": [ 6216 ], + "SD": [ 5712 ], + "Z": [ 6219 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6217 ], + "D1": [ 6218 ], + "SD": [ 5712 ], + "Z": [ 6220 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6219 ], + "D1": [ 6220 ], + "SD": [ 403 ], + "Z": [ 6112 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40104.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6221 ], + "B": [ 6222 ], + "C": [ 6223 ], + "D": [ 6224 ], + "Z": [ 6225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40104.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6221 ], + "B": [ 6222 ], + "C": [ 6223 ], + "D": [ 6224 ], + "Z": [ 6226 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40104.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6226 ], + "BLUT": [ 6225 ], + "C0": [ 6227 ], + "Z": [ 370 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6228 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6228 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6230 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6228 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6228 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6232 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6228 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6233 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6228 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6228 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6228 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6230 ], + "BLUT": [ 6229 ], + "C0": [ 395 ], + "Z": [ 6237 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6232 ], + "BLUT": [ 6231 ], + "C0": [ 395 ], + "Z": [ 6238 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6234 ], + "BLUT": [ 6233 ], + "C0": [ 395 ], + "Z": [ 6239 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6236 ], + "BLUT": [ 6235 ], + "C0": [ 395 ], + "Z": [ 6240 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6237 ], + "D1": [ 6238 ], + "SD": [ 6241 ], + "Z": [ 6242 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6239 ], + "D1": [ 6240 ], + "SD": [ 6241 ], + "Z": [ 6243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6242 ], + "D1": [ 6243 ], + "SD": [ 403 ], + "Z": [ 6221 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40106": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5554 ], + "B": [ 5752 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 6241 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6244 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6245 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6244 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6244 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6244 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6248 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6244 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6249 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6244 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6250 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6244 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6251 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6244 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6252 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6246 ], + "BLUT": [ 6245 ], + "C0": [ 395 ], + "Z": [ 6253 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6248 ], + "BLUT": [ 6247 ], + "C0": [ 395 ], + "Z": [ 6254 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6250 ], + "BLUT": [ 6249 ], + "C0": [ 395 ], + "Z": [ 6255 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6252 ], + "BLUT": [ 6251 ], + "C0": [ 395 ], + "Z": [ 6256 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6253 ], + "D1": [ 6254 ], + "SD": [ 6257 ], + "Z": [ 6258 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6255 ], + "D1": [ 6256 ], + "SD": [ 6257 ], + "Z": [ 6259 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6258 ], + "D1": [ 6259 ], + "SD": [ 403 ], + "Z": [ 6222 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40108": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5309 ], + "B": [ 5760 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 6257 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6260 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6260 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6260 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6260 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6264 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6260 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6265 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6260 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6260 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6267 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6260 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6268 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6262 ], + "BLUT": [ 6261 ], + "C0": [ 395 ], + "Z": [ 6269 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6264 ], + "BLUT": [ 6263 ], + "C0": [ 395 ], + "Z": [ 6270 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6266 ], + "BLUT": [ 6265 ], + "C0": [ 395 ], + "Z": [ 6271 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6268 ], + "BLUT": [ 6267 ], + "C0": [ 395 ], + "Z": [ 6272 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6269 ], + "D1": [ 6270 ], + "SD": [ 5734 ], + "Z": [ 6273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6271 ], + "D1": [ 6272 ], + "SD": [ 5734 ], + "Z": [ 6274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6273 ], + "D1": [ 6274 ], + "SD": [ 403 ], + "Z": [ 6223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6275 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6275 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6277 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6275 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6278 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6275 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6279 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6275 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6280 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6275 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6281 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6275 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6282 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6275 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6277 ], + "BLUT": [ 6276 ], + "C0": [ 395 ], + "Z": [ 6284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6279 ], + "BLUT": [ 6278 ], + "C0": [ 395 ], + "Z": [ 6285 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6281 ], + "BLUT": [ 6280 ], + "C0": [ 395 ], + "Z": [ 6286 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6283 ], + "BLUT": [ 6282 ], + "C0": [ 395 ], + "Z": [ 6287 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6284 ], + "D1": [ 6285 ], + "SD": [ 6288 ], + "Z": [ 6289 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6286 ], + "D1": [ 6287 ], + "SD": [ 6288 ], + "Z": [ 6290 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6289 ], + "D1": [ 6290 ], + "SD": [ 403 ], + "Z": [ 6224 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40111": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5311 ], + "B": [ 5761 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 6288 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6291 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6291 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6293 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6291 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6294 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6291 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6295 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6291 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6296 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6291 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6297 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6291 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6298 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6291 ], + "B": [ 384 ], + "C": [ 385 ], + "D": [ 386 ], + "Z": [ 6299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6293 ], + "BLUT": [ 6292 ], + "C0": [ 395 ], + "Z": [ 6300 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6295 ], + "BLUT": [ 6294 ], + "C0": [ 395 ], + "Z": [ 6301 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6297 ], + "BLUT": [ 6296 ], + "C0": [ 395 ], + "Z": [ 6302 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6299 ], + "BLUT": [ 6298 ], + "C0": [ 395 ], + "Z": [ 6303 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6300 ], + "D1": [ 6301 ], + "SD": [ 6304 ], + "Z": [ 6305 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6302 ], + "D1": [ 6303 ], + "SD": [ 6304 ], + "Z": [ 6306 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6305 ], + "D1": [ 6306 ], + "SD": [ 403 ], + "Z": [ 6227 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40113": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5751 ], + "B": [ 5753 ], + "C": [ 424 ], + "D": [ 427 ], + "Z": [ 6304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40114": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6307 ], + "B": [ 5984 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 330 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40115": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6308 ], + "B": [ 5979 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 331 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40116": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6309 ], + "B": [ 380 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40117": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6310 ], + "B": [ 5968 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 333 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40118": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6311 ], + "B": [ 6227 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 342 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40119": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6312 ], + "B": [ 379 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 347 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6313 ], + "B": [ 6314 ], + "C": [ 6315 ], + "D": [ 6316 ], + "Z": [ 6317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6313 ], + "B": [ 6314 ], + "C": [ 6315 ], + "D": [ 6316 ], + "Z": [ 6318 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6313 ], + "B": [ 6314 ], + "C": [ 6315 ], + "D": [ 6316 ], + "Z": [ 6319 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6313 ], + "B": [ 6314 ], + "C": [ 6315 ], + "D": [ 6316 ], + "Z": [ 6320 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6313 ], + "B": [ 6314 ], + "C": [ 6315 ], + "D": [ 6316 ], + "Z": [ 6321 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6313 ], + "B": [ 6314 ], + "C": [ 6315 ], + "D": [ 6316 ], + "Z": [ 6322 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6313 ], + "B": [ 6314 ], + "C": [ 6315 ], + "D": [ 6316 ], + "Z": [ 6323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6313 ], + "B": [ 6314 ], + "C": [ 6315 ], + "D": [ 6316 ], + "Z": [ 6324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6318 ], + "BLUT": [ 6317 ], + "C0": [ 6325 ], + "Z": [ 6326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6320 ], + "BLUT": [ 6319 ], + "C0": [ 6325 ], + "Z": [ 6327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6322 ], + "BLUT": [ 6321 ], + "C0": [ 6325 ], + "Z": [ 6328 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6324 ], + "BLUT": [ 6323 ], + "C0": [ 6325 ], + "Z": [ 6329 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6326 ], + "D1": [ 6327 ], + "SD": [ 6330 ], + "Z": [ 6331 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6328 ], + "D1": [ 6329 ], + "SD": [ 6330 ], + "Z": [ 6332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6331 ], + "D1": [ 6332 ], + "SD": [ 6333 ], + "Z": [ 323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40121": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6334 ], + "B": [ 6224 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6313 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40122": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6335 ], + "B": [ 5888 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40123": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6336 ], + "B": [ 5885 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6315 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40124": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6337 ], + "B": [ 5884 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6316 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40125.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6338 ], + "B": [ 6339 ], + "C": [ 6092 ], + "D": [ 6109 ], + "Z": [ 6340 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40125.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6338 ], + "B": [ 6339 ], + "C": [ 6092 ], + "D": [ 6109 ], + "Z": [ 6341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40125.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6341 ], + "BLUT": [ 6340 ], + "C0": [ 357 ], + "Z": [ 6333 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40126": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6342 ], + "B": [ 5815 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40127": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6343 ], + "B": [ 6104 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6330 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6344 ], + "B": [ 6345 ], + "C": [ 6346 ], + "D": [ 6347 ], + "Z": [ 6348 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6344 ], + "B": [ 6345 ], + "C": [ 6346 ], + "D": [ 6347 ], + "Z": [ 6349 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6344 ], + "B": [ 6345 ], + "C": [ 6346 ], + "D": [ 6347 ], + "Z": [ 6350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6344 ], + "B": [ 6345 ], + "C": [ 6346 ], + "D": [ 6347 ], + "Z": [ 6351 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6344 ], + "B": [ 6345 ], + "C": [ 6346 ], + "D": [ 6347 ], + "Z": [ 6352 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6344 ], + "B": [ 6345 ], + "C": [ 6346 ], + "D": [ 6347 ], + "Z": [ 6353 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6344 ], + "B": [ 6345 ], + "C": [ 6346 ], + "D": [ 6347 ], + "Z": [ 6354 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6344 ], + "B": [ 6345 ], + "C": [ 6346 ], + "D": [ 6347 ], + "Z": [ 6355 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6349 ], + "BLUT": [ 6348 ], + "C0": [ 6356 ], + "Z": [ 6357 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6351 ], + "BLUT": [ 6350 ], + "C0": [ 6356 ], + "Z": [ 6358 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6353 ], + "BLUT": [ 6352 ], + "C0": [ 6356 ], + "Z": [ 6359 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6355 ], + "BLUT": [ 6354 ], + "C0": [ 6356 ], + "Z": [ 6360 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6357 ], + "D1": [ 6358 ], + "SD": [ 6361 ], + "Z": [ 6362 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6359 ], + "D1": [ 6360 ], + "SD": [ 6361 ], + "Z": [ 6363 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6362 ], + "D1": [ 6363 ], + "SD": [ 6364 ], + "Z": [ 324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40129": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6365 ], + "B": [ 6222 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40130": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6366 ], + "B": [ 6095 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40131": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6367 ], + "B": [ 5970 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40132": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6368 ], + "B": [ 6093 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6347 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40133.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6369 ], + "B": [ 6370 ], + "C": [ 5816 ], + "D": [ 6112 ], + "Z": [ 6371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40133.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6369 ], + "B": [ 6370 ], + "C": [ 5816 ], + "D": [ 6112 ], + "Z": [ 6372 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40133.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6372 ], + "BLUT": [ 6371 ], + "C0": [ 357 ], + "Z": [ 6364 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40134": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6373 ], + "B": [ 6223 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6356 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40135": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6374 ], + "B": [ 5814 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6361 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6375 ], + "B": [ 6376 ], + "C": [ 305 ], + "D": [ 6377 ], + "Z": [ 6378 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6375 ], + "B": [ 6376 ], + "C": [ 305 ], + "D": [ 6377 ], + "Z": [ 6379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6375 ], + "B": [ 6376 ], + "C": [ 305 ], + "D": [ 6377 ], + "Z": [ 6380 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6375 ], + "B": [ 6376 ], + "C": [ 305 ], + "D": [ 6377 ], + "Z": [ 6381 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6375 ], + "B": [ 6376 ], + "C": [ 305 ], + "D": [ 6377 ], + "Z": [ 6382 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6375 ], + "B": [ 6376 ], + "C": [ 305 ], + "D": [ 6377 ], + "Z": [ 6383 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6375 ], + "B": [ 6376 ], + "C": [ 305 ], + "D": [ 6377 ], + "Z": [ 6384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6375 ], + "B": [ 6376 ], + "C": [ 305 ], + "D": [ 6377 ], + "Z": [ 6385 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6379 ], + "BLUT": [ 6378 ], + "C0": [ 6386 ], + "Z": [ 6387 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6381 ], + "BLUT": [ 6380 ], + "C0": [ 6386 ], + "Z": [ 6388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6383 ], + "BLUT": [ 6382 ], + "C0": [ 6386 ], + "Z": [ 6389 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6385 ], + "BLUT": [ 6384 ], + "C0": [ 6386 ], + "Z": [ 6390 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6387 ], + "D1": [ 6388 ], + "SD": [ 6391 ], + "Z": [ 6392 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6389 ], + "D1": [ 6390 ], + "SD": [ 6391 ], + "Z": [ 6393 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6392 ], + "D1": [ 6393 ], + "SD": [ 6394 ], + "Z": [ 325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40137.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6395 ], + "B": [ 6396 ], + "C": [ 5817 ], + "D": [ 5882 ], + "Z": [ 6397 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40137.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6395 ], + "B": [ 6396 ], + "C": [ 5817 ], + "D": [ 5882 ], + "Z": [ 6398 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40137.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6398 ], + "BLUT": [ 6397 ], + "C0": [ 357 ], + "Z": [ 6394 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40138": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6399 ], + "B": [ 382 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6386 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40139": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6400 ], + "B": [ 381 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6391 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40140": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6401 ], + "B": [ 6221 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6375 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40141": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6402 ], + "B": [ 6094 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6376 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40142": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6403 ], + "B": [ 5883 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 305 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40143": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6404 ], + "B": [ 5969 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6377 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6405 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6405 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6405 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6408 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6405 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6409 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6405 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6410 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6405 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6405 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6412 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6405 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6413 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6407 ], + "BLUT": [ 6406 ], + "C0": [ 325 ], + "Z": [ 6414 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6409 ], + "BLUT": [ 6408 ], + "C0": [ 325 ], + "Z": [ 6415 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6411 ], + "BLUT": [ 6410 ], + "C0": [ 325 ], + "Z": [ 6416 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6413 ], + "BLUT": [ 6412 ], + "C0": [ 325 ], + "Z": [ 6417 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6414 ], + "D1": [ 6415 ], + "SD": [ 6418 ], + "Z": [ 6419 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6416 ], + "D1": [ 6417 ], + "SD": [ 6418 ], + "Z": [ 6420 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6419 ], + "D1": [ 6420 ], + "SD": [ 329 ], + "Z": [ 314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40145": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6339 ], + "B": [ 6109 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6418 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6421 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6421 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6423 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6421 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6424 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6421 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6421 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6426 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6421 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6427 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6421 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6421 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6429 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6423 ], + "BLUT": [ 6422 ], + "C0": [ 325 ], + "Z": [ 6430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6425 ], + "BLUT": [ 6424 ], + "C0": [ 325 ], + "Z": [ 6431 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6427 ], + "BLUT": [ 6426 ], + "C0": [ 325 ], + "Z": [ 6432 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6429 ], + "BLUT": [ 6428 ], + "C0": [ 325 ], + "Z": [ 6433 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6430 ], + "D1": [ 6431 ], + "SD": [ 6325 ], + "Z": [ 6434 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6432 ], + "D1": [ 6433 ], + "SD": [ 6325 ], + "Z": [ 6435 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6434 ], + "D1": [ 6435 ], + "SD": [ 329 ], + "Z": [ 319 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40147": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6395 ], + "B": [ 5817 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6436 ], + "B": [ 6437 ], + "C": [ 6344 ], + "D": [ 6356 ], + "Z": [ 6438 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6436 ], + "B": [ 6437 ], + "C": [ 6344 ], + "D": [ 6356 ], + "Z": [ 6439 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6436 ], + "B": [ 6437 ], + "C": [ 6344 ], + "D": [ 6356 ], + "Z": [ 6440 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6436 ], + "B": [ 6437 ], + "C": [ 6344 ], + "D": [ 6356 ], + "Z": [ 6441 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6436 ], + "B": [ 6437 ], + "C": [ 6344 ], + "D": [ 6356 ], + "Z": [ 6442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6436 ], + "B": [ 6437 ], + "C": [ 6344 ], + "D": [ 6356 ], + "Z": [ 6443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6436 ], + "B": [ 6437 ], + "C": [ 6344 ], + "D": [ 6356 ], + "Z": [ 6444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6436 ], + "B": [ 6437 ], + "C": [ 6344 ], + "D": [ 6356 ], + "Z": [ 6445 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6439 ], + "BLUT": [ 6438 ], + "C0": [ 6446 ], + "Z": [ 6447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6441 ], + "BLUT": [ 6440 ], + "C0": [ 6446 ], + "Z": [ 6448 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6443 ], + "BLUT": [ 6442 ], + "C0": [ 6446 ], + "Z": [ 6449 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6445 ], + "BLUT": [ 6444 ], + "C0": [ 6446 ], + "Z": [ 6450 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6447 ], + "D1": [ 6448 ], + "SD": [ 6451 ], + "Z": [ 6452 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6449 ], + "D1": [ 6450 ], + "SD": [ 6451 ], + "Z": [ 6453 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6452 ], + "D1": [ 6453 ], + "SD": [ 322 ], + "Z": [ 282 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6454 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6455 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6454 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6456 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6454 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6457 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6454 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6458 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6454 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6454 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6460 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6454 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6461 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6454 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6462 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6456 ], + "BLUT": [ 6455 ], + "C0": [ 325 ], + "Z": [ 6463 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6458 ], + "BLUT": [ 6457 ], + "C0": [ 325 ], + "Z": [ 6464 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6460 ], + "BLUT": [ 6459 ], + "C0": [ 325 ], + "Z": [ 6465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6462 ], + "BLUT": [ 6461 ], + "C0": [ 325 ], + "Z": [ 6466 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6463 ], + "D1": [ 6464 ], + "SD": [ 6346 ], + "Z": [ 6467 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6465 ], + "D1": [ 6466 ], + "SD": [ 6346 ], + "Z": [ 6468 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6467 ], + "D1": [ 6468 ], + "SD": [ 329 ], + "Z": [ 6446 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6469 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6470 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6469 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6471 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6469 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6472 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6469 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6473 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6469 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6474 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6469 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6475 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6469 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6476 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6469 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6477 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6471 ], + "BLUT": [ 6470 ], + "C0": [ 325 ], + "Z": [ 6478 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6473 ], + "BLUT": [ 6472 ], + "C0": [ 325 ], + "Z": [ 6479 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6475 ], + "BLUT": [ 6474 ], + "C0": [ 325 ], + "Z": [ 6480 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6477 ], + "BLUT": [ 6476 ], + "C0": [ 325 ], + "Z": [ 6481 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6478 ], + "D1": [ 6479 ], + "SD": [ 6313 ], + "Z": [ 6482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6480 ], + "D1": [ 6481 ], + "SD": [ 6313 ], + "Z": [ 6483 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6482 ], + "D1": [ 6483 ], + "SD": [ 329 ], + "Z": [ 6451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6484 ], + "B": [ 6485 ], + "C": [ 6486 ], + "D": [ 330 ], + "Z": [ 6487 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6484 ], + "B": [ 6485 ], + "C": [ 6486 ], + "D": [ 330 ], + "Z": [ 6488 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6484 ], + "B": [ 6485 ], + "C": [ 6486 ], + "D": [ 330 ], + "Z": [ 6489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6484 ], + "B": [ 6485 ], + "C": [ 6486 ], + "D": [ 330 ], + "Z": [ 6490 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6484 ], + "B": [ 6485 ], + "C": [ 6486 ], + "D": [ 330 ], + "Z": [ 6491 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6484 ], + "B": [ 6485 ], + "C": [ 6486 ], + "D": [ 330 ], + "Z": [ 6492 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6484 ], + "B": [ 6485 ], + "C": [ 6486 ], + "D": [ 330 ], + "Z": [ 6493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6484 ], + "B": [ 6485 ], + "C": [ 6486 ], + "D": [ 330 ], + "Z": [ 6494 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6488 ], + "BLUT": [ 6487 ], + "C0": [ 6315 ], + "Z": [ 6495 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6490 ], + "BLUT": [ 6489 ], + "C0": [ 6315 ], + "Z": [ 6496 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6492 ], + "BLUT": [ 6491 ], + "C0": [ 6315 ], + "Z": [ 6497 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6494 ], + "BLUT": [ 6493 ], + "C0": [ 6315 ], + "Z": [ 6498 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6495 ], + "D1": [ 6496 ], + "SD": [ 6377 ], + "Z": [ 6499 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6497 ], + "D1": [ 6498 ], + "SD": [ 6377 ], + "Z": [ 6500 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6499 ], + "D1": [ 6500 ], + "SD": [ 322 ], + "Z": [ 283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6501 ], + "B": [ 6502 ], + "C": [ 6503 ], + "D": [ 6504 ], + "Z": [ 6505 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6501 ], + "B": [ 6502 ], + "C": [ 6503 ], + "D": [ 6504 ], + "Z": [ 6506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6501 ], + "B": [ 6502 ], + "C": [ 6503 ], + "D": [ 6504 ], + "Z": [ 6507 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6501 ], + "B": [ 6502 ], + "C": [ 6503 ], + "D": [ 6504 ], + "Z": [ 6508 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6506 ], + "BLUT": [ 6505 ], + "C0": [ 6509 ], + "Z": [ 6510 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6508 ], + "BLUT": [ 6507 ], + "C0": [ 6509 ], + "Z": [ 6511 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6510 ], + "D1": [ 6511 ], + "SD": [ 6512 ], + "Z": [ 284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6513 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6514 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6513 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6515 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6513 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6513 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6513 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6518 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6513 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6519 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6513 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6520 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6513 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6521 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6515 ], + "BLUT": [ 6514 ], + "C0": [ 325 ], + "Z": [ 6522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6517 ], + "BLUT": [ 6516 ], + "C0": [ 325 ], + "Z": [ 6523 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6519 ], + "BLUT": [ 6518 ], + "C0": [ 325 ], + "Z": [ 6524 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6521 ], + "BLUT": [ 6520 ], + "C0": [ 325 ], + "Z": [ 6525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6522 ], + "D1": [ 6523 ], + "SD": [ 6375 ], + "Z": [ 6526 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6524 ], + "D1": [ 6525 ], + "SD": [ 6375 ], + "Z": [ 6527 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6526 ], + "D1": [ 6527 ], + "SD": [ 329 ], + "Z": [ 6501 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6528 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6529 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6528 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6530 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6528 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6528 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6528 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6533 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6528 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6528 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6528 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6536 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6530 ], + "BLUT": [ 6529 ], + "C0": [ 325 ], + "Z": [ 6537 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6532 ], + "BLUT": [ 6531 ], + "C0": [ 325 ], + "Z": [ 6538 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6534 ], + "BLUT": [ 6533 ], + "C0": [ 325 ], + "Z": [ 6539 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6536 ], + "BLUT": [ 6535 ], + "C0": [ 325 ], + "Z": [ 6540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6537 ], + "D1": [ 6538 ], + "SD": [ 6345 ], + "Z": [ 6541 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6539 ], + "D1": [ 6540 ], + "SD": [ 6345 ], + "Z": [ 6542 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6541 ], + "D1": [ 6542 ], + "SD": [ 329 ], + "Z": [ 6502 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6543 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6544 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6543 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6543 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6546 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6543 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6547 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6543 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6543 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6543 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6550 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6543 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6551 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6545 ], + "BLUT": [ 6544 ], + "C0": [ 325 ], + "Z": [ 6552 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6547 ], + "BLUT": [ 6546 ], + "C0": [ 325 ], + "Z": [ 6553 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6549 ], + "BLUT": [ 6548 ], + "C0": [ 325 ], + "Z": [ 6554 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6551 ], + "BLUT": [ 6550 ], + "C0": [ 325 ], + "Z": [ 6555 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6552 ], + "D1": [ 6553 ], + "SD": [ 332 ], + "Z": [ 6556 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6554 ], + "D1": [ 6555 ], + "SD": [ 332 ], + "Z": [ 6557 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6556 ], + "D1": [ 6557 ], + "SD": [ 329 ], + "Z": [ 6503 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6558 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6559 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6558 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6560 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6558 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6558 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6558 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6563 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6558 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6558 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6558 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6566 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6560 ], + "BLUT": [ 6559 ], + "C0": [ 325 ], + "Z": [ 6567 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6562 ], + "BLUT": [ 6561 ], + "C0": [ 325 ], + "Z": [ 6568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6564 ], + "BLUT": [ 6563 ], + "C0": [ 325 ], + "Z": [ 6569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6566 ], + "BLUT": [ 6565 ], + "C0": [ 325 ], + "Z": [ 6570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6567 ], + "D1": [ 6568 ], + "SD": [ 6571 ], + "Z": [ 6572 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6569 ], + "D1": [ 6570 ], + "SD": [ 6571 ], + "Z": [ 6573 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6572 ], + "D1": [ 6573 ], + "SD": [ 329 ], + "Z": [ 6512 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40157": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6370 ], + "B": [ 6112 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6571 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6574 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6575 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6574 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6574 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6577 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6574 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6578 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6574 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6579 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6574 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6574 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6581 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6574 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6582 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6576 ], + "BLUT": [ 6575 ], + "C0": [ 325 ], + "Z": [ 6583 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6578 ], + "BLUT": [ 6577 ], + "C0": [ 325 ], + "Z": [ 6584 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6580 ], + "BLUT": [ 6579 ], + "C0": [ 325 ], + "Z": [ 6585 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6582 ], + "BLUT": [ 6581 ], + "C0": [ 325 ], + "Z": [ 6586 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6583 ], + "D1": [ 6584 ], + "SD": [ 6587 ], + "Z": [ 6588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6585 ], + "D1": [ 6586 ], + "SD": [ 6587 ], + "Z": [ 6589 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6588 ], + "D1": [ 6589 ], + "SD": [ 329 ], + "Z": [ 6509 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40159": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6396 ], + "B": [ 5882 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6587 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6590 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6591 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6590 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6590 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6590 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6590 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6595 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6590 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6590 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6597 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6590 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6598 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6592 ], + "BLUT": [ 6591 ], + "C0": [ 325 ], + "Z": [ 6599 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6594 ], + "BLUT": [ 6593 ], + "C0": [ 325 ], + "Z": [ 6600 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6596 ], + "BLUT": [ 6595 ], + "C0": [ 325 ], + "Z": [ 6601 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6598 ], + "BLUT": [ 6597 ], + "C0": [ 325 ], + "Z": [ 6602 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6599 ], + "D1": [ 6600 ], + "SD": [ 6391 ], + "Z": [ 6603 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6601 ], + "D1": [ 6602 ], + "SD": [ 6391 ], + "Z": [ 6604 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6603 ], + "D1": [ 6604 ], + "SD": [ 329 ], + "Z": [ 6504 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6605 ], + "B": [ 6606 ], + "C": [ 342 ], + "D": [ 6361 ], + "Z": [ 6607 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6605 ], + "B": [ 6606 ], + "C": [ 342 ], + "D": [ 6361 ], + "Z": [ 6608 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6605 ], + "B": [ 6606 ], + "C": [ 342 ], + "D": [ 6361 ], + "Z": [ 6609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6605 ], + "B": [ 6606 ], + "C": [ 342 ], + "D": [ 6361 ], + "Z": [ 6610 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6605 ], + "B": [ 6606 ], + "C": [ 342 ], + "D": [ 6361 ], + "Z": [ 6611 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6605 ], + "B": [ 6606 ], + "C": [ 342 ], + "D": [ 6361 ], + "Z": [ 6612 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6605 ], + "B": [ 6606 ], + "C": [ 342 ], + "D": [ 6361 ], + "Z": [ 6613 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6605 ], + "B": [ 6606 ], + "C": [ 342 ], + "D": [ 6361 ], + "Z": [ 6614 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6608 ], + "BLUT": [ 6607 ], + "C0": [ 322 ], + "Z": [ 6615 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6610 ], + "BLUT": [ 6609 ], + "C0": [ 322 ], + "Z": [ 6616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6612 ], + "BLUT": [ 6611 ], + "C0": [ 322 ], + "Z": [ 6617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6614 ], + "BLUT": [ 6613 ], + "C0": [ 322 ], + "Z": [ 6618 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6615 ], + "D1": [ 6616 ], + "SD": [ 6619 ], + "Z": [ 6620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6617 ], + "D1": [ 6618 ], + "SD": [ 6619 ], + "Z": [ 6621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6620 ], + "D1": [ 6621 ], + "SD": [ 6622 ], + "Z": [ 293 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6623 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6623 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6623 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6623 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6623 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6623 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6623 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6623 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6631 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6625 ], + "BLUT": [ 6624 ], + "C0": [ 325 ], + "Z": [ 6632 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6627 ], + "BLUT": [ 6626 ], + "C0": [ 325 ], + "Z": [ 6633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6629 ], + "BLUT": [ 6628 ], + "C0": [ 325 ], + "Z": [ 6634 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6631 ], + "BLUT": [ 6630 ], + "C0": [ 325 ], + "Z": [ 6635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6632 ], + "D1": [ 6633 ], + "SD": [ 6386 ], + "Z": [ 6636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6634 ], + "D1": [ 6635 ], + "SD": [ 6386 ], + "Z": [ 6637 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6636 ], + "D1": [ 6637 ], + "SD": [ 329 ], + "Z": [ 6622 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6638 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6638 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6640 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6638 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6641 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6638 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6642 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6638 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6643 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6638 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6644 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6638 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6645 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6638 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6646 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6640 ], + "BLUT": [ 6639 ], + "C0": [ 325 ], + "Z": [ 6647 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6642 ], + "BLUT": [ 6641 ], + "C0": [ 325 ], + "Z": [ 6648 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6644 ], + "BLUT": [ 6643 ], + "C0": [ 325 ], + "Z": [ 6649 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6646 ], + "BLUT": [ 6645 ], + "C0": [ 325 ], + "Z": [ 6650 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6647 ], + "D1": [ 6648 ], + "SD": [ 6651 ], + "Z": [ 6652 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6649 ], + "D1": [ 6650 ], + "SD": [ 6651 ], + "Z": [ 6653 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6652 ], + "D1": [ 6653 ], + "SD": [ 329 ], + "Z": [ 6619 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40164": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6369 ], + "B": [ 5816 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6651 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6654 ], + "B": [ 6655 ], + "C": [ 6656 ], + "D": [ 6657 ], + "Z": [ 6658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6654 ], + "B": [ 6655 ], + "C": [ 6656 ], + "D": [ 6657 ], + "Z": [ 6659 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6654 ], + "B": [ 6655 ], + "C": [ 6656 ], + "D": [ 6657 ], + "Z": [ 6660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6654 ], + "B": [ 6655 ], + "C": [ 6656 ], + "D": [ 6657 ], + "Z": [ 6661 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6659 ], + "BLUT": [ 6658 ], + "C0": [ 6662 ], + "Z": [ 6663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6661 ], + "BLUT": [ 6660 ], + "C0": [ 6662 ], + "Z": [ 6664 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6663 ], + "D1": [ 6664 ], + "SD": [ 6665 ], + "Z": [ 298 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6666 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6667 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6666 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6668 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6666 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6666 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6670 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6666 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6666 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6672 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6666 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6666 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6668 ], + "BLUT": [ 6667 ], + "C0": [ 325 ], + "Z": [ 6675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6670 ], + "BLUT": [ 6669 ], + "C0": [ 325 ], + "Z": [ 6676 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6672 ], + "BLUT": [ 6671 ], + "C0": [ 325 ], + "Z": [ 6677 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6674 ], + "BLUT": [ 6673 ], + "C0": [ 325 ], + "Z": [ 6678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6675 ], + "D1": [ 6676 ], + "SD": [ 6347 ], + "Z": [ 6679 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6677 ], + "D1": [ 6678 ], + "SD": [ 6347 ], + "Z": [ 6680 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6679 ], + "D1": [ 6680 ], + "SD": [ 329 ], + "Z": [ 6654 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6681 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6681 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6681 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6681 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6685 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6681 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6686 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6681 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6681 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6688 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6681 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6689 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6683 ], + "BLUT": [ 6682 ], + "C0": [ 325 ], + "Z": [ 6690 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6685 ], + "BLUT": [ 6684 ], + "C0": [ 325 ], + "Z": [ 6691 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6687 ], + "BLUT": [ 6686 ], + "C0": [ 325 ], + "Z": [ 6692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6689 ], + "BLUT": [ 6688 ], + "C0": [ 325 ], + "Z": [ 6693 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6690 ], + "D1": [ 6691 ], + "SD": [ 6330 ], + "Z": [ 6694 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6692 ], + "D1": [ 6693 ], + "SD": [ 6330 ], + "Z": [ 6695 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6694 ], + "D1": [ 6695 ], + "SD": [ 329 ], + "Z": [ 6655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6696 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6697 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6696 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6698 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6696 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6699 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6696 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6700 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6696 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6701 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6696 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6696 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6703 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6696 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6704 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6698 ], + "BLUT": [ 6697 ], + "C0": [ 325 ], + "Z": [ 6705 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6700 ], + "BLUT": [ 6699 ], + "C0": [ 325 ], + "Z": [ 6706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6702 ], + "BLUT": [ 6701 ], + "C0": [ 325 ], + "Z": [ 6707 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6704 ], + "BLUT": [ 6703 ], + "C0": [ 325 ], + "Z": [ 6708 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6705 ], + "D1": [ 6706 ], + "SD": [ 6376 ], + "Z": [ 6709 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6707 ], + "D1": [ 6708 ], + "SD": [ 6376 ], + "Z": [ 6710 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6709 ], + "D1": [ 6710 ], + "SD": [ 329 ], + "Z": [ 6656 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6711 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6712 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6711 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6713 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6711 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6714 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6711 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6715 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6711 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6711 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6717 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6711 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6718 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6711 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6719 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6713 ], + "BLUT": [ 6712 ], + "C0": [ 325 ], + "Z": [ 6720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6715 ], + "BLUT": [ 6714 ], + "C0": [ 325 ], + "Z": [ 6721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6717 ], + "BLUT": [ 6716 ], + "C0": [ 325 ], + "Z": [ 6722 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6719 ], + "BLUT": [ 6718 ], + "C0": [ 325 ], + "Z": [ 6723 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6720 ], + "D1": [ 6721 ], + "SD": [ 347 ], + "Z": [ 6724 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6722 ], + "D1": [ 6723 ], + "SD": [ 347 ], + "Z": [ 6725 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6724 ], + "D1": [ 6725 ], + "SD": [ 329 ], + "Z": [ 6657 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6728 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6729 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6730 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6731 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6732 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6733 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6728 ], + "BLUT": [ 6727 ], + "C0": [ 325 ], + "Z": [ 6735 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6730 ], + "BLUT": [ 6729 ], + "C0": [ 325 ], + "Z": [ 6736 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6732 ], + "BLUT": [ 6731 ], + "C0": [ 325 ], + "Z": [ 6737 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6734 ], + "BLUT": [ 6733 ], + "C0": [ 325 ], + "Z": [ 6738 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6735 ], + "D1": [ 6736 ], + "SD": [ 6316 ], + "Z": [ 6739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6737 ], + "D1": [ 6738 ], + "SD": [ 6316 ], + "Z": [ 6740 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6739 ], + "D1": [ 6740 ], + "SD": [ 329 ], + "Z": [ 6662 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6741 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6742 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6741 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6743 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6741 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6744 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6741 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6741 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6746 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6741 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6741 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6748 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6741 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6749 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6743 ], + "BLUT": [ 6742 ], + "C0": [ 325 ], + "Z": [ 6750 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6745 ], + "BLUT": [ 6744 ], + "C0": [ 325 ], + "Z": [ 6751 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6747 ], + "BLUT": [ 6746 ], + "C0": [ 325 ], + "Z": [ 6752 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6749 ], + "BLUT": [ 6748 ], + "C0": [ 325 ], + "Z": [ 6753 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6750 ], + "D1": [ 6751 ], + "SD": [ 6314 ], + "Z": [ 6754 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6752 ], + "D1": [ 6753 ], + "SD": [ 6314 ], + "Z": [ 6755 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6754 ], + "D1": [ 6755 ], + "SD": [ 329 ], + "Z": [ 6665 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 5 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6756 ], + "B": [ 6757 ], + "C": [ 6758 ], + "D": [ 6759 ], + "Z": [ 6760 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6756 ], + "B": [ 6757 ], + "C": [ 6758 ], + "D": [ 6759 ], + "Z": [ 6761 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6756 ], + "B": [ 6757 ], + "C": [ 6758 ], + "D": [ 6759 ], + "Z": [ 6762 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6756 ], + "B": [ 6757 ], + "C": [ 6758 ], + "D": [ 6759 ], + "Z": [ 6763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6756 ], + "B": [ 6757 ], + "C": [ 6758 ], + "D": [ 6759 ], + "Z": [ 6764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6756 ], + "B": [ 6757 ], + "C": [ 6758 ], + "D": [ 6759 ], + "Z": [ 6765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6756 ], + "B": [ 6757 ], + "C": [ 6758 ], + "D": [ 6759 ], + "Z": [ 6766 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6756 ], + "B": [ 6757 ], + "C": [ 6758 ], + "D": [ 6759 ], + "Z": [ 6767 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6761 ], + "BLUT": [ 6760 ], + "C0": [ 6768 ], + "Z": [ 6769 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6763 ], + "BLUT": [ 6762 ], + "C0": [ 6768 ], + "Z": [ 6770 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6765 ], + "BLUT": [ 6764 ], + "C0": [ 6768 ], + "Z": [ 6771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6767 ], + "BLUT": [ 6766 ], + "C0": [ 6768 ], + "Z": [ 6772 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6769 ], + "D1": [ 6770 ], + "SD": [ 6773 ], + "Z": [ 6774 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6771 ], + "D1": [ 6772 ], + "SD": [ 6773 ], + "Z": [ 6775 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6774 ], + "D1": [ 6775 ], + "SD": [ 322 ], + "Z": [ 301 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6776 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6776 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6778 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6776 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6776 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6780 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6776 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6781 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6776 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6782 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6776 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6783 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6776 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6784 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6778 ], + "BLUT": [ 6777 ], + "C0": [ 325 ], + "Z": [ 6785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6780 ], + "BLUT": [ 6779 ], + "C0": [ 325 ], + "Z": [ 6786 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6782 ], + "BLUT": [ 6781 ], + "C0": [ 325 ], + "Z": [ 6787 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6784 ], + "BLUT": [ 6783 ], + "C0": [ 325 ], + "Z": [ 6788 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6785 ], + "D1": [ 6786 ], + "SD": [ 6789 ], + "Z": [ 6790 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6787 ], + "D1": [ 6788 ], + "SD": [ 6789 ], + "Z": [ 6791 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6790 ], + "D1": [ 6791 ], + "SD": [ 329 ], + "Z": [ 6773 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40174": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6338 ], + "B": [ 6092 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6789 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6792 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6792 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6794 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6792 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6795 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6792 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6796 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6792 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6797 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6792 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6798 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6792 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6799 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6792 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6800 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6794 ], + "BLUT": [ 6793 ], + "C0": [ 325 ], + "Z": [ 6801 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6796 ], + "BLUT": [ 6795 ], + "C0": [ 325 ], + "Z": [ 6802 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6798 ], + "BLUT": [ 6797 ], + "C0": [ 325 ], + "Z": [ 6803 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6800 ], + "BLUT": [ 6799 ], + "C0": [ 325 ], + "Z": [ 6804 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6801 ], + "D1": [ 6802 ], + "SD": [ 6805 ], + "Z": [ 6806 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6803 ], + "D1": [ 6804 ], + "SD": [ 6805 ], + "Z": [ 6807 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6806 ], + "D1": [ 6807 ], + "SD": [ 329 ], + "Z": [ 6758 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40176": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 352 ], + "B": [ 354 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6805 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6808 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6809 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6808 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6808 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6808 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6812 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6808 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6813 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6808 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6814 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6808 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6808 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6816 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6810 ], + "BLUT": [ 6809 ], + "C0": [ 325 ], + "Z": [ 6817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6812 ], + "BLUT": [ 6811 ], + "C0": [ 325 ], + "Z": [ 6818 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6814 ], + "BLUT": [ 6813 ], + "C0": [ 325 ], + "Z": [ 6819 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6816 ], + "BLUT": [ 6815 ], + "C0": [ 325 ], + "Z": [ 6820 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6817 ], + "D1": [ 6818 ], + "SD": [ 331 ], + "Z": [ 6821 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6819 ], + "D1": [ 6820 ], + "SD": [ 331 ], + "Z": [ 6822 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6821 ], + "D1": [ 6822 ], + "SD": [ 329 ], + "Z": [ 6759 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6823 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6824 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6823 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6825 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6823 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6826 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6823 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6827 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6823 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6828 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6823 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6829 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6823 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6830 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6823 ], + "B": [ 326 ], + "C": [ 323 ], + "D": [ 324 ], + "Z": [ 6831 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6825 ], + "BLUT": [ 6824 ], + "C0": [ 325 ], + "Z": [ 6832 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6827 ], + "BLUT": [ 6826 ], + "C0": [ 325 ], + "Z": [ 6833 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6829 ], + "BLUT": [ 6828 ], + "C0": [ 325 ], + "Z": [ 6834 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6831 ], + "BLUT": [ 6830 ], + "C0": [ 325 ], + "Z": [ 6835 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6832 ], + "D1": [ 6833 ], + "SD": [ 333 ], + "Z": [ 6836 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6834 ], + "D1": [ 6835 ], + "SD": [ 333 ], + "Z": [ 6837 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6836 ], + "D1": [ 6837 ], + "SD": [ 329 ], + "Z": [ 6768 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40179": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 351 ], + "B": [ 353 ], + "C": [ 357 ], + "D": [ "0" ], + "Z": [ 6757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40180": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6838 ], + "B": [ 6839 ], + "C": [ 279 ], + "D": [ 280 ], + "Z": [ 275 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40181": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6484 ], + "B": [ 6377 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 6838 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40182": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61674 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6840 ], + "B": [ 279 ], + "C": [ 6841 ], + "D": [ 280 ], + "Z": [ 274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40183": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6485 ], + "B": [ 330 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 6840 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40184": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6486 ], + "B": [ 6315 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6842 ], + "B": [ 6843 ], + "C": [ 6844 ], + "D": [ 6845 ], + "Z": [ 6846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6842 ], + "B": [ 6843 ], + "C": [ 6844 ], + "D": [ 6845 ], + "Z": [ 6847 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6842 ], + "B": [ 6843 ], + "C": [ 6844 ], + "D": [ 6845 ], + "Z": [ 6848 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6842 ], + "B": [ 6843 ], + "C": [ 6844 ], + "D": [ 6845 ], + "Z": [ 6849 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6847 ], + "BLUT": [ 6846 ], + "C0": [ 6850 ], + "Z": [ 6851 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6849 ], + "BLUT": [ 6848 ], + "C0": [ 6850 ], + "Z": [ 6852 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6851 ], + "D1": [ 6852 ], + "SD": [ 6853 ], + "Z": [ 265 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6502 ], + "B": [ 6503 ], + "C": [ 6854 ], + "D": [ 6855 ], + "Z": [ 6856 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6502 ], + "B": [ 6503 ], + "C": [ 6854 ], + "D": [ 6855 ], + "Z": [ 6857 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6502 ], + "B": [ 6503 ], + "C": [ 6854 ], + "D": [ 6855 ], + "Z": [ 6858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6502 ], + "B": [ 6503 ], + "C": [ 6854 ], + "D": [ 6855 ], + "Z": [ 6859 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6857 ], + "BLUT": [ 6856 ], + "C0": [ 279 ], + "Z": [ 6860 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6859 ], + "BLUT": [ 6858 ], + "C0": [ 279 ], + "Z": [ 6861 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6860 ], + "D1": [ 6861 ], + "SD": [ 280 ], + "Z": [ 6842 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40187": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6622 ], + "B": [ 6862 ], + "C": [ 279 ], + "D": [ 280 ], + "Z": [ 6843 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40188": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6619 ], + "B": [ 6863 ], + "C": [ 279 ], + "D": [ 280 ], + "Z": [ 6844 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6501 ], + "B": [ 6512 ], + "C": [ 6864 ], + "D": [ 6865 ], + "Z": [ 6866 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6501 ], + "B": [ 6512 ], + "C": [ 6864 ], + "D": [ 6865 ], + "Z": [ 6867 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6501 ], + "B": [ 6512 ], + "C": [ 6864 ], + "D": [ 6865 ], + "Z": [ 6868 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6501 ], + "B": [ 6512 ], + "C": [ 6864 ], + "D": [ 6865 ], + "Z": [ 6869 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6867 ], + "BLUT": [ 6866 ], + "C0": [ 279 ], + "Z": [ 6870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6869 ], + "BLUT": [ 6868 ], + "C0": [ 279 ], + "Z": [ 6871 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6870 ], + "D1": [ 6871 ], + "SD": [ 280 ], + "Z": [ 6845 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6504 ], + "B": [ 6509 ], + "C": [ 6872 ], + "D": [ 6873 ], + "Z": [ 6874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6504 ], + "B": [ 6509 ], + "C": [ 6872 ], + "D": [ 6873 ], + "Z": [ 6875 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6504 ], + "B": [ 6509 ], + "C": [ 6872 ], + "D": [ 6873 ], + "Z": [ 6876 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6504 ], + "B": [ 6509 ], + "C": [ 6872 ], + "D": [ 6873 ], + "Z": [ 6877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6875 ], + "BLUT": [ 6874 ], + "C0": [ 279 ], + "Z": [ 6878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6877 ], + "BLUT": [ 6876 ], + "C0": [ 279 ], + "Z": [ 6879 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6878 ], + "D1": [ 6879 ], + "SD": [ 280 ], + "Z": [ 6850 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6880 ], + "B": [ 6881 ], + "C": [ 6882 ], + "D": [ 6883 ], + "Z": [ 6884 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6880 ], + "B": [ 6881 ], + "C": [ 6882 ], + "D": [ 6883 ], + "Z": [ 6885 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6880 ], + "B": [ 6881 ], + "C": [ 6882 ], + "D": [ 6883 ], + "Z": [ 6886 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6880 ], + "B": [ 6881 ], + "C": [ 6882 ], + "D": [ 6883 ], + "Z": [ 6887 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6885 ], + "BLUT": [ 6884 ], + "C0": [ 279 ], + "Z": [ 6888 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6887 ], + "BLUT": [ 6886 ], + "C0": [ 279 ], + "Z": [ 6889 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6888 ], + "D1": [ 6889 ], + "SD": [ 280 ], + "Z": [ 6853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40192": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6605 ], + "B": [ 342 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 6880 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40193": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6606 ], + "B": [ 6361 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 6881 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6890 ], + "B": [ 6891 ], + "C": [ 6892 ], + "D": [ 6893 ], + "Z": [ 6894 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6890 ], + "B": [ 6891 ], + "C": [ 6892 ], + "D": [ 6893 ], + "Z": [ 6895 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6890 ], + "B": [ 6891 ], + "C": [ 6892 ], + "D": [ 6893 ], + "Z": [ 6896 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6890 ], + "B": [ 6891 ], + "C": [ 6892 ], + "D": [ 6893 ], + "Z": [ 6897 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6890 ], + "B": [ 6891 ], + "C": [ 6892 ], + "D": [ 6893 ], + "Z": [ 6898 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6890 ], + "B": [ 6891 ], + "C": [ 6892 ], + "D": [ 6893 ], + "Z": [ 6899 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6890 ], + "B": [ 6891 ], + "C": [ 6892 ], + "D": [ 6893 ], + "Z": [ 6900 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6890 ], + "B": [ 6891 ], + "C": [ 6892 ], + "D": [ 6893 ], + "Z": [ 6901 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6895 ], + "BLUT": [ 6894 ], + "C0": [ 6902 ], + "Z": [ 6903 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6897 ], + "BLUT": [ 6896 ], + "C0": [ 6902 ], + "Z": [ 6904 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6899 ], + "BLUT": [ 6898 ], + "C0": [ 6902 ], + "Z": [ 6905 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6901 ], + "BLUT": [ 6900 ], + "C0": [ 6902 ], + "Z": [ 6906 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6903 ], + "D1": [ 6904 ], + "SD": [ 6907 ], + "Z": [ 6908 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6905 ], + "D1": [ 6906 ], + "SD": [ 6907 ], + "Z": [ 6909 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6908 ], + "D1": [ 6909 ], + "SD": [ 6910 ], + "Z": [ 266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40195": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6759 ], + "B": [ 6911 ], + "C": [ 279 ], + "D": [ 280 ], + "Z": [ 6890 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40196": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6655 ], + "B": [ 6912 ], + "C": [ 279 ], + "D": [ 280 ], + "Z": [ 6891 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40197": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6657 ], + "B": [ 6913 ], + "C": [ 279 ], + "D": [ 280 ], + "Z": [ 6892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6662 ], + "B": [ 6665 ], + "C": [ 6914 ], + "D": [ 6915 ], + "Z": [ 6916 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6662 ], + "B": [ 6665 ], + "C": [ 6914 ], + "D": [ 6915 ], + "Z": [ 6917 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6662 ], + "B": [ 6665 ], + "C": [ 6914 ], + "D": [ 6915 ], + "Z": [ 6918 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6662 ], + "B": [ 6665 ], + "C": [ 6914 ], + "D": [ 6915 ], + "Z": [ 6919 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6917 ], + "BLUT": [ 6916 ], + "C0": [ 279 ], + "Z": [ 6920 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6919 ], + "BLUT": [ 6918 ], + "C0": [ 279 ], + "Z": [ 6921 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6920 ], + "D1": [ 6921 ], + "SD": [ 280 ], + "Z": [ 6893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6654 ], + "B": [ 6656 ], + "C": [ 6922 ], + "D": [ 6923 ], + "Z": [ 6924 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6654 ], + "B": [ 6656 ], + "C": [ 6922 ], + "D": [ 6923 ], + "Z": [ 6925 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6654 ], + "B": [ 6656 ], + "C": [ 6922 ], + "D": [ 6923 ], + "Z": [ 6926 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6654 ], + "B": [ 6656 ], + "C": [ 6922 ], + "D": [ 6923 ], + "Z": [ 6927 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6925 ], + "BLUT": [ 6924 ], + "C0": [ 279 ], + "Z": [ 6928 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6927 ], + "BLUT": [ 6926 ], + "C0": [ 279 ], + "Z": [ 6929 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6928 ], + "D1": [ 6929 ], + "SD": [ 280 ], + "Z": [ 6902 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6773 ], + "B": [ 6768 ], + "C": [ 6930 ], + "D": [ 6931 ], + "Z": [ 6932 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6773 ], + "B": [ 6768 ], + "C": [ 6930 ], + "D": [ 6931 ], + "Z": [ 6933 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6773 ], + "B": [ 6768 ], + "C": [ 6930 ], + "D": [ 6931 ], + "Z": [ 6934 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6773 ], + "B": [ 6768 ], + "C": [ 6930 ], + "D": [ 6931 ], + "Z": [ 6935 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6933 ], + "BLUT": [ 6932 ], + "C0": [ 279 ], + "Z": [ 6936 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6935 ], + "BLUT": [ 6934 ], + "C0": [ 279 ], + "Z": [ 6937 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6936 ], + "D1": [ 6937 ], + "SD": [ 280 ], + "Z": [ 6907 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6938 ], + "B": [ 6758 ], + "C": [ 6939 ], + "D": [ 6940 ], + "Z": [ 6941 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6938 ], + "B": [ 6758 ], + "C": [ 6939 ], + "D": [ 6940 ], + "Z": [ 6942 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6938 ], + "B": [ 6758 ], + "C": [ 6939 ], + "D": [ 6940 ], + "Z": [ 6943 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6938 ], + "B": [ 6758 ], + "C": [ 6939 ], + "D": [ 6940 ], + "Z": [ 6944 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6942 ], + "BLUT": [ 6941 ], + "C0": [ 279 ], + "Z": [ 6945 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6944 ], + "BLUT": [ 6943 ], + "C0": [ 279 ], + "Z": [ 6946 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6945 ], + "D1": [ 6946 ], + "SD": [ 280 ], + "Z": [ 6910 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40202": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6756 ], + "B": [ 6757 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 6938 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40203": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6947 ], + "B": [ 6948 ], + "C": [ 6949 ], + "D": [ 6950 ], + "Z": [ 267 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6951 ], + "B": [ 314 ], + "C": [ 6952 ], + "D": [ 6953 ], + "Z": [ 6954 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6951 ], + "B": [ 314 ], + "C": [ 6952 ], + "D": [ 6953 ], + "Z": [ 6955 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6951 ], + "B": [ 314 ], + "C": [ 6952 ], + "D": [ 6953 ], + "Z": [ 6956 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6951 ], + "B": [ 314 ], + "C": [ 6952 ], + "D": [ 6953 ], + "Z": [ 6957 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6955 ], + "BLUT": [ 6954 ], + "C0": [ 279 ], + "Z": [ 6958 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6957 ], + "BLUT": [ 6956 ], + "C0": [ 279 ], + "Z": [ 6959 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6958 ], + "D1": [ 6959 ], + "SD": [ 280 ], + "Z": [ 6947 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40205": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 302 ], + "B": [ 305 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 6951 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6960 ], + "B": [ 319 ], + "C": [ 6961 ], + "D": [ 6962 ], + "Z": [ 6963 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6960 ], + "B": [ 319 ], + "C": [ 6961 ], + "D": [ 6962 ], + "Z": [ 6964 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6960 ], + "B": [ 319 ], + "C": [ 6961 ], + "D": [ 6962 ], + "Z": [ 6965 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6960 ], + "B": [ 319 ], + "C": [ 6961 ], + "D": [ 6962 ], + "Z": [ 6966 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6964 ], + "BLUT": [ 6963 ], + "C0": [ 279 ], + "Z": [ 6967 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6966 ], + "BLUT": [ 6965 ], + "C0": [ 279 ], + "Z": [ 6968 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6967 ], + "D1": [ 6968 ], + "SD": [ 280 ], + "Z": [ 6948 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40207": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 303 ], + "B": [ 304 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 6960 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6969 ], + "B": [ 6970 ], + "C": [ 6971 ], + "D": [ 6972 ], + "Z": [ 6973 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6969 ], + "B": [ 6970 ], + "C": [ 6971 ], + "D": [ 6972 ], + "Z": [ 6974 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6969 ], + "B": [ 6970 ], + "C": [ 6971 ], + "D": [ 6972 ], + "Z": [ 6975 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6969 ], + "B": [ 6970 ], + "C": [ 6971 ], + "D": [ 6972 ], + "Z": [ 6976 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6974 ], + "BLUT": [ 6973 ], + "C0": [ 279 ], + "Z": [ 6977 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6976 ], + "BLUT": [ 6975 ], + "C0": [ 279 ], + "Z": [ 6978 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6977 ], + "D1": [ 6978 ], + "SD": [ 280 ], + "Z": [ 6949 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40209": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6436 ], + "B": [ 6356 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 6969 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40210": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6437 ], + "B": [ 6344 ], + "C": [ 322 ], + "D": [ "0" ], + "Z": [ 6970 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6451 ], + "B": [ 6446 ], + "C": [ 6979 ], + "D": [ 6980 ], + "Z": [ 6981 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6451 ], + "B": [ 6446 ], + "C": [ 6979 ], + "D": [ 6980 ], + "Z": [ 6982 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6451 ], + "B": [ 6446 ], + "C": [ 6979 ], + "D": [ 6980 ], + "Z": [ 6983 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6451 ], + "B": [ 6446 ], + "C": [ 6979 ], + "D": [ 6980 ], + "Z": [ 6984 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6982 ], + "BLUT": [ 6981 ], + "C0": [ 279 ], + "Z": [ 6985 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6984 ], + "BLUT": [ 6983 ], + "C0": [ 279 ], + "Z": [ 6986 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6985 ], + "D1": [ 6986 ], + "SD": [ 280 ], + "Z": [ 6950 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6987 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 6988 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6987 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 6989 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6987 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 6990 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6987 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 6991 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6987 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 6992 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6987 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 6993 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6987 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 6994 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6987 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 6995 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6989 ], + "BLUT": [ 6988 ], + "C0": [ 267 ], + "Z": [ 6996 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6991 ], + "BLUT": [ 6990 ], + "C0": [ 267 ], + "Z": [ 6997 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6993 ], + "BLUT": [ 6992 ], + "C0": [ 267 ], + "Z": [ 6998 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6995 ], + "BLUT": [ 6994 ], + "C0": [ 267 ], + "Z": [ 6999 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6996 ], + "D1": [ 6997 ], + "SD": [ 7000 ], + "Z": [ 7001 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6998 ], + "D1": [ 6999 ], + "SD": [ 7000 ], + "Z": [ 7002 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7001 ], + "D1": [ 7002 ], + "SD": [ 271 ], + "Z": [ 256 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40213": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6971 ], + "B": [ 6969 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7000 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7003 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7004 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7003 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7005 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7003 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7006 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7003 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7007 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7003 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7008 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7003 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7009 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7003 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7010 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7003 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7011 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7005 ], + "BLUT": [ 7004 ], + "C0": [ 267 ], + "Z": [ 7012 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7007 ], + "BLUT": [ 7006 ], + "C0": [ 267 ], + "Z": [ 7013 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7009 ], + "BLUT": [ 7008 ], + "C0": [ 267 ], + "Z": [ 7014 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7011 ], + "BLUT": [ 7010 ], + "C0": [ 267 ], + "Z": [ 7015 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7012 ], + "D1": [ 7013 ], + "SD": [ 7016 ], + "Z": [ 7017 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7014 ], + "D1": [ 7015 ], + "SD": [ 7016 ], + "Z": [ 7018 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7017 ], + "D1": [ 7018 ], + "SD": [ 271 ], + "Z": [ 264 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40215": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6980 ], + "B": [ 6446 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7016 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40216": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6962 ], + "B": [ 319 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40217": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6979 ], + "B": [ 6451 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7019 ], + "B": [ 7020 ], + "C": [ 7021 ], + "D": [ 7022 ], + "Z": [ 7023 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7019 ], + "B": [ 7020 ], + "C": [ 7021 ], + "D": [ 7022 ], + "Z": [ 7024 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7019 ], + "B": [ 7020 ], + "C": [ 7021 ], + "D": [ 7022 ], + "Z": [ 7025 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7019 ], + "B": [ 7020 ], + "C": [ 7021 ], + "D": [ 7022 ], + "Z": [ 7026 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7019 ], + "B": [ 7020 ], + "C": [ 7021 ], + "D": [ 7022 ], + "Z": [ 7027 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7019 ], + "B": [ 7020 ], + "C": [ 7021 ], + "D": [ 7022 ], + "Z": [ 7028 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7019 ], + "B": [ 7020 ], + "C": [ 7021 ], + "D": [ 7022 ], + "Z": [ 7029 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7019 ], + "B": [ 7020 ], + "C": [ 7021 ], + "D": [ 7022 ], + "Z": [ 7030 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7024 ], + "BLUT": [ 7023 ], + "C0": [ 7031 ], + "Z": [ 7032 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7026 ], + "BLUT": [ 7025 ], + "C0": [ 7031 ], + "Z": [ 7033 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7028 ], + "BLUT": [ 7027 ], + "C0": [ 7031 ], + "Z": [ 7034 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7030 ], + "BLUT": [ 7029 ], + "C0": [ 7031 ], + "Z": [ 7035 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7032 ], + "D1": [ 7033 ], + "SD": [ 261 ], + "Z": [ 7036 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7034 ], + "D1": [ 7035 ], + "SD": [ 261 ], + "Z": [ 7037 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7036 ], + "D1": [ 7037 ], + "SD": [ 7038 ], + "Z": [ 224 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7039 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7040 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7039 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7041 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7039 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7042 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7039 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7043 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7039 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7044 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7039 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7045 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7039 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7046 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7039 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7047 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7041 ], + "BLUT": [ 7040 ], + "C0": [ 267 ], + "Z": [ 7048 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7043 ], + "BLUT": [ 7042 ], + "C0": [ 267 ], + "Z": [ 7049 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7045 ], + "BLUT": [ 7044 ], + "C0": [ 267 ], + "Z": [ 7050 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7047 ], + "BLUT": [ 7046 ], + "C0": [ 267 ], + "Z": [ 7051 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7048 ], + "D1": [ 7049 ], + "SD": [ 7052 ], + "Z": [ 7053 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7050 ], + "D1": [ 7051 ], + "SD": [ 7052 ], + "Z": [ 7054 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7053 ], + "D1": [ 7054 ], + "SD": [ 271 ], + "Z": [ 7031 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40220": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6953 ], + "B": [ 314 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7052 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7055 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7056 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7055 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7057 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7055 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7058 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7055 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7059 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7055 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7060 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7055 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7061 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7055 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7062 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7055 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7063 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7057 ], + "BLUT": [ 7056 ], + "C0": [ 267 ], + "Z": [ 7064 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7059 ], + "BLUT": [ 7058 ], + "C0": [ 267 ], + "Z": [ 7065 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7061 ], + "BLUT": [ 7060 ], + "C0": [ 267 ], + "Z": [ 7066 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7063 ], + "BLUT": [ 7062 ], + "C0": [ 267 ], + "Z": [ 7067 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7064 ], + "D1": [ 7065 ], + "SD": [ 7068 ], + "Z": [ 7069 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7066 ], + "D1": [ 7067 ], + "SD": [ 7068 ], + "Z": [ 7070 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7069 ], + "D1": [ 7070 ], + "SD": [ 271 ], + "Z": [ 7038 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40222": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6952 ], + "B": [ 6951 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7068 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40223": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6961 ], + "B": [ 6960 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7021 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40224": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6972 ], + "B": [ 6970 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7022 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7071 ], + "B": [ 7072 ], + "C": [ 7073 ], + "D": [ 7074 ], + "Z": [ 7075 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7071 ], + "B": [ 7072 ], + "C": [ 7073 ], + "D": [ 7074 ], + "Z": [ 7076 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7071 ], + "B": [ 7072 ], + "C": [ 7073 ], + "D": [ 7074 ], + "Z": [ 7077 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 257 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7071 ], + "B": [ 7072 ], + "C": [ 7073 ], + "D": [ 7074 ], + "Z": [ 7078 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 255 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7071 ], + "B": [ 7072 ], + "C": [ 7073 ], + "D": [ 7074 ], + "Z": [ 7079 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7071 ], + "B": [ 7072 ], + "C": [ 7073 ], + "D": [ 7074 ], + "Z": [ 7080 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7071 ], + "B": [ 7072 ], + "C": [ 7073 ], + "D": [ 7074 ], + "Z": [ 7081 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7071 ], + "B": [ 7072 ], + "C": [ 7073 ], + "D": [ 7074 ], + "Z": [ 7082 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7076 ], + "BLUT": [ 7075 ], + "C0": [ 275 ], + "Z": [ 7083 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7078 ], + "BLUT": [ 7077 ], + "C0": [ 275 ], + "Z": [ 7084 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7080 ], + "BLUT": [ 7079 ], + "C0": [ 275 ], + "Z": [ 7085 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7082 ], + "BLUT": [ 7081 ], + "C0": [ 275 ], + "Z": [ 7086 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7083 ], + "D1": [ 7084 ], + "SD": [ 274 ], + "Z": [ 7087 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7085 ], + "D1": [ 7086 ], + "SD": [ 274 ], + "Z": [ 7088 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7087 ], + "D1": [ 7088 ], + "SD": [ 261 ], + "Z": [ 225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40226": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 272 ], + "B": [ 273 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7074 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7089 ], + "B": [ 7090 ], + "C": [ 7091 ], + "D": [ 7092 ], + "Z": [ 7093 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7089 ], + "B": [ 7090 ], + "C": [ 7091 ], + "D": [ 7092 ], + "Z": [ 7094 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7089 ], + "B": [ 7090 ], + "C": [ 7091 ], + "D": [ 7092 ], + "Z": [ 7095 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7089 ], + "B": [ 7090 ], + "C": [ 7091 ], + "D": [ 7092 ], + "Z": [ 7096 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7094 ], + "BLUT": [ 7093 ], + "C0": [ 7097 ], + "Z": [ 7098 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7096 ], + "BLUT": [ 7095 ], + "C0": [ 7097 ], + "Z": [ 7099 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7098 ], + "D1": [ 7099 ], + "SD": [ 7100 ], + "Z": [ 226 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7101 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7102 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7101 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7101 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7101 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7105 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7101 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7101 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7107 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7101 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7101 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7109 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7103 ], + "BLUT": [ 7102 ], + "C0": [ 267 ], + "Z": [ 7110 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7105 ], + "BLUT": [ 7104 ], + "C0": [ 267 ], + "Z": [ 7111 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7107 ], + "BLUT": [ 7106 ], + "C0": [ 267 ], + "Z": [ 7112 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7109 ], + "BLUT": [ 7108 ], + "C0": [ 267 ], + "Z": [ 7113 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7110 ], + "D1": [ 7111 ], + "SD": [ 7114 ], + "Z": [ 7115 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7112 ], + "D1": [ 7113 ], + "SD": [ 7114 ], + "Z": [ 7116 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7115 ], + "D1": [ 7116 ], + "SD": [ 271 ], + "Z": [ 7089 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40229": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6865 ], + "B": [ 6501 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7114 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7117 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7118 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7117 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7119 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7117 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7120 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7117 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7121 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7117 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7117 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7123 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7117 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7124 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7117 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7125 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7119 ], + "BLUT": [ 7118 ], + "C0": [ 267 ], + "Z": [ 7126 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7121 ], + "BLUT": [ 7120 ], + "C0": [ 267 ], + "Z": [ 7127 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7123 ], + "BLUT": [ 7122 ], + "C0": [ 267 ], + "Z": [ 7128 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7125 ], + "BLUT": [ 7124 ], + "C0": [ 267 ], + "Z": [ 7129 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7126 ], + "D1": [ 7127 ], + "SD": [ 7130 ], + "Z": [ 7131 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7128 ], + "D1": [ 7129 ], + "SD": [ 7130 ], + "Z": [ 7132 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7131 ], + "D1": [ 7132 ], + "SD": [ 271 ], + "Z": [ 7090 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40231": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6855 ], + "B": [ 6502 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7130 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7133 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7134 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7133 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7133 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7136 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7133 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7137 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7133 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7138 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7133 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7133 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7140 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7133 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7141 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7135 ], + "BLUT": [ 7134 ], + "C0": [ 267 ], + "Z": [ 7142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7137 ], + "BLUT": [ 7136 ], + "C0": [ 267 ], + "Z": [ 7143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7139 ], + "BLUT": [ 7138 ], + "C0": [ 267 ], + "Z": [ 7144 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7141 ], + "BLUT": [ 7140 ], + "C0": [ 267 ], + "Z": [ 7145 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7142 ], + "D1": [ 7143 ], + "SD": [ 7146 ], + "Z": [ 7147 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7144 ], + "D1": [ 7145 ], + "SD": [ 7146 ], + "Z": [ 7148 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7147 ], + "D1": [ 7148 ], + "SD": [ 271 ], + "Z": [ 7091 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40233": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6854 ], + "B": [ 6503 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7146 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7149 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7150 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7149 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7151 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7149 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7152 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7149 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7153 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7149 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7154 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7149 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7149 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7156 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7149 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7157 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7151 ], + "BLUT": [ 7150 ], + "C0": [ 267 ], + "Z": [ 7158 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7153 ], + "BLUT": [ 7152 ], + "C0": [ 267 ], + "Z": [ 7159 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7155 ], + "BLUT": [ 7154 ], + "C0": [ 267 ], + "Z": [ 7160 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7157 ], + "BLUT": [ 7156 ], + "C0": [ 267 ], + "Z": [ 7161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7158 ], + "D1": [ 7159 ], + "SD": [ 7162 ], + "Z": [ 7163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7160 ], + "D1": [ 7161 ], + "SD": [ 7162 ], + "Z": [ 7164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7163 ], + "D1": [ 7164 ], + "SD": [ 271 ], + "Z": [ 7100 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40235": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6873 ], + "B": [ 6509 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7162 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7165 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7166 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7165 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7167 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7165 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7165 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7169 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7165 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7170 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7165 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7171 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7165 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7172 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7165 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7167 ], + "BLUT": [ 7166 ], + "C0": [ 267 ], + "Z": [ 7174 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7169 ], + "BLUT": [ 7168 ], + "C0": [ 267 ], + "Z": [ 7175 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7171 ], + "BLUT": [ 7170 ], + "C0": [ 267 ], + "Z": [ 7176 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7173 ], + "BLUT": [ 7172 ], + "C0": [ 267 ], + "Z": [ 7177 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7174 ], + "D1": [ 7175 ], + "SD": [ 7178 ], + "Z": [ 7179 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7176 ], + "D1": [ 7177 ], + "SD": [ 7178 ], + "Z": [ 7180 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7179 ], + "D1": [ 7180 ], + "SD": [ 271 ], + "Z": [ 7092 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40237": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6864 ], + "B": [ 6512 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7181 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7182 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7181 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7183 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7181 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7184 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7181 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7185 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7181 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7186 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7181 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7181 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7181 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7189 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7183 ], + "BLUT": [ 7182 ], + "C0": [ 267 ], + "Z": [ 7190 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7185 ], + "BLUT": [ 7184 ], + "C0": [ 267 ], + "Z": [ 7191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7187 ], + "BLUT": [ 7186 ], + "C0": [ 267 ], + "Z": [ 7192 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7189 ], + "BLUT": [ 7188 ], + "C0": [ 267 ], + "Z": [ 7193 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7190 ], + "D1": [ 7191 ], + "SD": [ 7194 ], + "Z": [ 7195 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7192 ], + "D1": [ 7193 ], + "SD": [ 7194 ], + "Z": [ 7196 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7195 ], + "D1": [ 7196 ], + "SD": [ 271 ], + "Z": [ 7097 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40239": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6872 ], + "B": [ 6504 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7194 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7197 ], + "B": [ 7198 ], + "C": [ 7199 ], + "D": [ 7200 ], + "Z": [ 7201 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7197 ], + "B": [ 7198 ], + "C": [ 7199 ], + "D": [ 7200 ], + "Z": [ 7202 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7197 ], + "B": [ 7198 ], + "C": [ 7199 ], + "D": [ 7200 ], + "Z": [ 7203 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7197 ], + "B": [ 7198 ], + "C": [ 7199 ], + "D": [ 7200 ], + "Z": [ 7204 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7197 ], + "B": [ 7198 ], + "C": [ 7199 ], + "D": [ 7200 ], + "Z": [ 7205 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7197 ], + "B": [ 7198 ], + "C": [ 7199 ], + "D": [ 7200 ], + "Z": [ 7206 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7197 ], + "B": [ 7198 ], + "C": [ 7199 ], + "D": [ 7200 ], + "Z": [ 7207 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7197 ], + "B": [ 7198 ], + "C": [ 7199 ], + "D": [ 7200 ], + "Z": [ 7208 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7202 ], + "BLUT": [ 7201 ], + "C0": [ 7209 ], + "Z": [ 7210 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7204 ], + "BLUT": [ 7203 ], + "C0": [ 7209 ], + "Z": [ 7211 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7206 ], + "BLUT": [ 7205 ], + "C0": [ 7209 ], + "Z": [ 7212 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7208 ], + "BLUT": [ 7207 ], + "C0": [ 7209 ], + "Z": [ 7213 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7210 ], + "D1": [ 7211 ], + "SD": [ 261 ], + "Z": [ 7214 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7212 ], + "D1": [ 7213 ], + "SD": [ 261 ], + "Z": [ 7215 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7214 ], + "D1": [ 7215 ], + "SD": [ 7216 ], + "Z": [ 235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7217 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7218 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7217 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7219 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7217 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7220 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7217 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7221 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7217 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7222 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7217 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7217 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7224 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7217 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7219 ], + "BLUT": [ 7218 ], + "C0": [ 267 ], + "Z": [ 7226 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7221 ], + "BLUT": [ 7220 ], + "C0": [ 267 ], + "Z": [ 7227 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7223 ], + "BLUT": [ 7222 ], + "C0": [ 267 ], + "Z": [ 7228 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7225 ], + "BLUT": [ 7224 ], + "C0": [ 267 ], + "Z": [ 7229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7226 ], + "D1": [ 7227 ], + "SD": [ 6843 ], + "Z": [ 7230 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7228 ], + "D1": [ 7229 ], + "SD": [ 6843 ], + "Z": [ 7231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7230 ], + "D1": [ 7231 ], + "SD": [ 271 ], + "Z": [ 7209 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7232 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7233 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7232 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7232 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7232 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7232 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7237 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7232 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7238 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7232 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7239 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7232 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7240 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7234 ], + "BLUT": [ 7233 ], + "C0": [ 267 ], + "Z": [ 7241 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7236 ], + "BLUT": [ 7235 ], + "C0": [ 267 ], + "Z": [ 7242 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7238 ], + "BLUT": [ 7237 ], + "C0": [ 267 ], + "Z": [ 7243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7240 ], + "BLUT": [ 7239 ], + "C0": [ 267 ], + "Z": [ 7244 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7241 ], + "D1": [ 7242 ], + "SD": [ 6844 ], + "Z": [ 7245 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7243 ], + "D1": [ 7244 ], + "SD": [ 6844 ], + "Z": [ 7246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7245 ], + "D1": [ 7246 ], + "SD": [ 271 ], + "Z": [ 7216 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40243": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6883 ], + "B": [ 6880 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7199 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40244": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6882 ], + "B": [ 6881 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7200 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7247 ], + "B": [ 7248 ], + "C": [ 7249 ], + "D": [ 7250 ], + "Z": [ 7251 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7247 ], + "B": [ 7248 ], + "C": [ 7249 ], + "D": [ 7250 ], + "Z": [ 7252 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7247 ], + "B": [ 7248 ], + "C": [ 7249 ], + "D": [ 7250 ], + "Z": [ 7253 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7247 ], + "B": [ 7248 ], + "C": [ 7249 ], + "D": [ 7250 ], + "Z": [ 7254 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7252 ], + "BLUT": [ 7251 ], + "C0": [ 7255 ], + "Z": [ 7256 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7254 ], + "BLUT": [ 7253 ], + "C0": [ 7255 ], + "Z": [ 7257 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7256 ], + "D1": [ 7257 ], + "SD": [ 7258 ], + "Z": [ 240 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7259 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7260 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7259 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7259 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7259 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7259 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7264 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7259 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7265 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7259 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7259 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7267 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7261 ], + "BLUT": [ 7260 ], + "C0": [ 267 ], + "Z": [ 7268 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7263 ], + "BLUT": [ 7262 ], + "C0": [ 267 ], + "Z": [ 7269 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7265 ], + "BLUT": [ 7264 ], + "C0": [ 267 ], + "Z": [ 7270 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7267 ], + "BLUT": [ 7266 ], + "C0": [ 267 ], + "Z": [ 7271 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7268 ], + "D1": [ 7269 ], + "SD": [ 7272 ], + "Z": [ 7273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7270 ], + "D1": [ 7271 ], + "SD": [ 7272 ], + "Z": [ 7274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7273 ], + "D1": [ 7274 ], + "SD": [ 271 ], + "Z": [ 7247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40247": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6922 ], + "B": [ 6654 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7272 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7275 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7275 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7277 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7275 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7278 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7275 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7279 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7275 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7280 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7275 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7281 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7275 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7282 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7275 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7277 ], + "BLUT": [ 7276 ], + "C0": [ 267 ], + "Z": [ 7284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7279 ], + "BLUT": [ 7278 ], + "C0": [ 267 ], + "Z": [ 7285 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7281 ], + "BLUT": [ 7280 ], + "C0": [ 267 ], + "Z": [ 7286 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7283 ], + "BLUT": [ 7282 ], + "C0": [ 267 ], + "Z": [ 7287 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7284 ], + "D1": [ 7285 ], + "SD": [ 6891 ], + "Z": [ 7288 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7286 ], + "D1": [ 7287 ], + "SD": [ 6891 ], + "Z": [ 7289 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7288 ], + "D1": [ 7289 ], + "SD": [ 271 ], + "Z": [ 7248 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7290 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7291 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7290 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7290 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7293 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7290 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7294 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7290 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7295 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7290 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7296 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7290 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7297 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7290 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7298 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7292 ], + "BLUT": [ 7291 ], + "C0": [ 267 ], + "Z": [ 7299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7294 ], + "BLUT": [ 7293 ], + "C0": [ 267 ], + "Z": [ 7300 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7296 ], + "BLUT": [ 7295 ], + "C0": [ 267 ], + "Z": [ 7301 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7298 ], + "BLUT": [ 7297 ], + "C0": [ 267 ], + "Z": [ 7302 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7299 ], + "D1": [ 7300 ], + "SD": [ 7303 ], + "Z": [ 7304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7301 ], + "D1": [ 7302 ], + "SD": [ 7303 ], + "Z": [ 7305 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7304 ], + "D1": [ 7305 ], + "SD": [ 271 ], + "Z": [ 7249 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40250": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6923 ], + "B": [ 6656 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7303 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7306 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7307 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7306 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7308 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7306 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7309 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7306 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7310 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7306 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7311 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7306 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7312 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7306 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7313 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7306 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7308 ], + "BLUT": [ 7307 ], + "C0": [ 267 ], + "Z": [ 7315 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7310 ], + "BLUT": [ 7309 ], + "C0": [ 267 ], + "Z": [ 7316 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7312 ], + "BLUT": [ 7311 ], + "C0": [ 267 ], + "Z": [ 7317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7314 ], + "BLUT": [ 7313 ], + "C0": [ 267 ], + "Z": [ 7318 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7315 ], + "D1": [ 7316 ], + "SD": [ 6892 ], + "Z": [ 7319 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7317 ], + "D1": [ 7318 ], + "SD": [ 6892 ], + "Z": [ 7320 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7319 ], + "D1": [ 7320 ], + "SD": [ 271 ], + "Z": [ 7250 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7321 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7322 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7321 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7321 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7321 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7321 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7321 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7321 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7328 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7321 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7329 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7323 ], + "BLUT": [ 7322 ], + "C0": [ 267 ], + "Z": [ 7330 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7325 ], + "BLUT": [ 7324 ], + "C0": [ 267 ], + "Z": [ 7331 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7327 ], + "BLUT": [ 7326 ], + "C0": [ 267 ], + "Z": [ 7332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7329 ], + "BLUT": [ 7328 ], + "C0": [ 267 ], + "Z": [ 7333 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7330 ], + "D1": [ 7331 ], + "SD": [ 7334 ], + "Z": [ 7335 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7332 ], + "D1": [ 7333 ], + "SD": [ 7334 ], + "Z": [ 7336 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7335 ], + "D1": [ 7336 ], + "SD": [ 271 ], + "Z": [ 7255 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40253": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6914 ], + "B": [ 6662 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7334 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7337 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7338 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7337 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7339 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7337 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7340 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7337 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7337 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7342 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7337 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7343 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7337 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7337 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7339 ], + "BLUT": [ 7338 ], + "C0": [ 267 ], + "Z": [ 7346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7341 ], + "BLUT": [ 7340 ], + "C0": [ 267 ], + "Z": [ 7347 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7343 ], + "BLUT": [ 7342 ], + "C0": [ 267 ], + "Z": [ 7348 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7345 ], + "BLUT": [ 7344 ], + "C0": [ 267 ], + "Z": [ 7349 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7346 ], + "D1": [ 7347 ], + "SD": [ 7350 ], + "Z": [ 7351 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7348 ], + "D1": [ 7349 ], + "SD": [ 7350 ], + "Z": [ 7352 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7351 ], + "D1": [ 7352 ], + "SD": [ 271 ], + "Z": [ 7258 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40255": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6915 ], + "B": [ 6665 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 5 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7353 ], + "B": [ 7354 ], + "C": [ 7355 ], + "D": [ 7356 ], + "Z": [ 7357 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7353 ], + "B": [ 7354 ], + "C": [ 7355 ], + "D": [ 7356 ], + "Z": [ 7358 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7353 ], + "B": [ 7354 ], + "C": [ 7355 ], + "D": [ 7356 ], + "Z": [ 7359 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7353 ], + "B": [ 7354 ], + "C": [ 7355 ], + "D": [ 7356 ], + "Z": [ 7360 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7353 ], + "B": [ 7354 ], + "C": [ 7355 ], + "D": [ 7356 ], + "Z": [ 7361 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7353 ], + "B": [ 7354 ], + "C": [ 7355 ], + "D": [ 7356 ], + "Z": [ 7362 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7353 ], + "B": [ 7354 ], + "C": [ 7355 ], + "D": [ 7356 ], + "Z": [ 7363 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7353 ], + "B": [ 7354 ], + "C": [ 7355 ], + "D": [ 7356 ], + "Z": [ 7364 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7358 ], + "BLUT": [ 7357 ], + "C0": [ 7365 ], + "Z": [ 7366 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7360 ], + "BLUT": [ 7359 ], + "C0": [ 7365 ], + "Z": [ 7367 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7362 ], + "BLUT": [ 7361 ], + "C0": [ 7365 ], + "Z": [ 7368 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7364 ], + "BLUT": [ 7363 ], + "C0": [ 7365 ], + "Z": [ 7369 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7366 ], + "D1": [ 7367 ], + "SD": [ 7370 ], + "Z": [ 7371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7368 ], + "D1": [ 7369 ], + "SD": [ 7370 ], + "Z": [ 7372 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7371 ], + "D1": [ 7372 ], + "SD": [ 261 ], + "Z": [ 243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7373 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7374 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7373 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7375 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7373 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7376 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7373 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7377 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7373 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7378 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7373 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7373 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7380 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7373 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7381 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7375 ], + "BLUT": [ 7374 ], + "C0": [ 267 ], + "Z": [ 7382 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7377 ], + "BLUT": [ 7376 ], + "C0": [ 267 ], + "Z": [ 7383 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7379 ], + "BLUT": [ 7378 ], + "C0": [ 267 ], + "Z": [ 7384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7381 ], + "BLUT": [ 7380 ], + "C0": [ 267 ], + "Z": [ 7385 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7382 ], + "D1": [ 7383 ], + "SD": [ 7386 ], + "Z": [ 7387 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7384 ], + "D1": [ 7385 ], + "SD": [ 7386 ], + "Z": [ 7388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7387 ], + "D1": [ 7388 ], + "SD": [ 271 ], + "Z": [ 7370 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40258": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6931 ], + "B": [ 6773 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7386 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7389 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7390 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7389 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7391 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7389 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7392 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7389 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7393 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7389 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7394 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7389 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7395 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7389 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7396 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7389 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7397 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7391 ], + "BLUT": [ 7390 ], + "C0": [ 267 ], + "Z": [ 7398 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7393 ], + "BLUT": [ 7392 ], + "C0": [ 267 ], + "Z": [ 7399 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7395 ], + "BLUT": [ 7394 ], + "C0": [ 267 ], + "Z": [ 7400 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7397 ], + "BLUT": [ 7396 ], + "C0": [ 267 ], + "Z": [ 7401 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7398 ], + "D1": [ 7399 ], + "SD": [ 7402 ], + "Z": [ 7403 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7400 ], + "D1": [ 7401 ], + "SD": [ 7402 ], + "Z": [ 7404 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7403 ], + "D1": [ 7404 ], + "SD": [ 271 ], + "Z": [ 7355 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40260": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6939 ], + "B": [ 6758 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7402 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7405 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7405 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7405 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7408 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7405 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7409 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7405 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7410 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7405 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7405 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7412 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7405 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7413 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7407 ], + "BLUT": [ 7406 ], + "C0": [ 267 ], + "Z": [ 7414 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7409 ], + "BLUT": [ 7408 ], + "C0": [ 267 ], + "Z": [ 7415 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7411 ], + "BLUT": [ 7410 ], + "C0": [ 267 ], + "Z": [ 7416 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7413 ], + "BLUT": [ 7412 ], + "C0": [ 267 ], + "Z": [ 7417 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7414 ], + "D1": [ 7415 ], + "SD": [ 6890 ], + "Z": [ 7418 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7416 ], + "D1": [ 7417 ], + "SD": [ 6890 ], + "Z": [ 7419 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7418 ], + "D1": [ 7419 ], + "SD": [ 271 ], + "Z": [ 7356 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7420 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7421 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7420 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7420 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7423 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7420 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7424 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7420 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7420 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7426 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7420 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7427 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7420 ], + "B": [ 268 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 7428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7422 ], + "BLUT": [ 7421 ], + "C0": [ 267 ], + "Z": [ 7429 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7424 ], + "BLUT": [ 7423 ], + "C0": [ 267 ], + "Z": [ 7430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7426 ], + "BLUT": [ 7425 ], + "C0": [ 267 ], + "Z": [ 7431 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7428 ], + "BLUT": [ 7427 ], + "C0": [ 267 ], + "Z": [ 7432 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7429 ], + "D1": [ 7430 ], + "SD": [ 7433 ], + "Z": [ 7434 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7431 ], + "D1": [ 7432 ], + "SD": [ 7433 ], + "Z": [ 7435 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7434 ], + "D1": [ 7435 ], + "SD": [ 271 ], + "Z": [ 7365 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40263": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6930 ], + "B": [ 6768 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7433 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40264": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6940 ], + "B": [ 6938 ], + "C": [ 278 ], + "D": [ "0" ], + "Z": [ 7354 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40265": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7072 ], + "B": [ 7074 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 219 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40266": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7436 ], + "B": [ 7437 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 217 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40267": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7071 ], + "B": [ 275 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 7436 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40268": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61674 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7438 ], + "B": [ 221 ], + "C": [ 7439 ], + "D": [ 222 ], + "Z": [ 218 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40269": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7073 ], + "B": [ 274 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 7438 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7440 ], + "B": [ 7441 ], + "C": [ 7442 ], + "D": [ 7443 ], + "Z": [ 7444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7440 ], + "B": [ 7441 ], + "C": [ 7442 ], + "D": [ 7443 ], + "Z": [ 7445 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7440 ], + "B": [ 7441 ], + "C": [ 7442 ], + "D": [ 7443 ], + "Z": [ 7446 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7440 ], + "B": [ 7441 ], + "C": [ 7442 ], + "D": [ 7443 ], + "Z": [ 7447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7445 ], + "BLUT": [ 7444 ], + "C0": [ 7448 ], + "Z": [ 7449 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7447 ], + "BLUT": [ 7446 ], + "C0": [ 7448 ], + "Z": [ 7450 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7449 ], + "D1": [ 7450 ], + "SD": [ 7451 ], + "Z": [ 209 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7090 ], + "B": [ 7091 ], + "C": [ 7452 ], + "D": [ 7453 ], + "Z": [ 7454 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7090 ], + "B": [ 7091 ], + "C": [ 7452 ], + "D": [ 7453 ], + "Z": [ 7455 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7090 ], + "B": [ 7091 ], + "C": [ 7452 ], + "D": [ 7453 ], + "Z": [ 7456 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7090 ], + "B": [ 7091 ], + "C": [ 7452 ], + "D": [ 7453 ], + "Z": [ 7457 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7455 ], + "BLUT": [ 7454 ], + "C0": [ 221 ], + "Z": [ 7458 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7457 ], + "BLUT": [ 7456 ], + "C0": [ 221 ], + "Z": [ 7459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7458 ], + "D1": [ 7459 ], + "SD": [ 222 ], + "Z": [ 7441 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40272": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7460 ], + "B": [ 7461 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 7440 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40273": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7198 ], + "B": [ 7199 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 7460 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40274": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7216 ], + "B": [ 7462 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 7442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7089 ], + "B": [ 7100 ], + "C": [ 7463 ], + "D": [ 7464 ], + "Z": [ 7465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7089 ], + "B": [ 7100 ], + "C": [ 7463 ], + "D": [ 7464 ], + "Z": [ 7466 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7089 ], + "B": [ 7100 ], + "C": [ 7463 ], + "D": [ 7464 ], + "Z": [ 7467 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7089 ], + "B": [ 7100 ], + "C": [ 7463 ], + "D": [ 7464 ], + "Z": [ 7468 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7466 ], + "BLUT": [ 7465 ], + "C0": [ 221 ], + "Z": [ 7469 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7468 ], + "BLUT": [ 7467 ], + "C0": [ 221 ], + "Z": [ 7470 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7469 ], + "D1": [ 7470 ], + "SD": [ 222 ], + "Z": [ 7443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7092 ], + "B": [ 7097 ], + "C": [ 7471 ], + "D": [ 7472 ], + "Z": [ 7473 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7092 ], + "B": [ 7097 ], + "C": [ 7471 ], + "D": [ 7472 ], + "Z": [ 7474 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7092 ], + "B": [ 7097 ], + "C": [ 7471 ], + "D": [ 7472 ], + "Z": [ 7475 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7092 ], + "B": [ 7097 ], + "C": [ 7471 ], + "D": [ 7472 ], + "Z": [ 7476 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7474 ], + "BLUT": [ 7473 ], + "C0": [ 221 ], + "Z": [ 7477 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7476 ], + "BLUT": [ 7475 ], + "C0": [ 221 ], + "Z": [ 7478 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7477 ], + "D1": [ 7478 ], + "SD": [ 222 ], + "Z": [ 7448 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7479 ], + "B": [ 7209 ], + "C": [ 7480 ], + "D": [ 7481 ], + "Z": [ 7482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7479 ], + "B": [ 7209 ], + "C": [ 7480 ], + "D": [ 7481 ], + "Z": [ 7483 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7479 ], + "B": [ 7209 ], + "C": [ 7480 ], + "D": [ 7481 ], + "Z": [ 7484 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7479 ], + "B": [ 7209 ], + "C": [ 7480 ], + "D": [ 7481 ], + "Z": [ 7485 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7483 ], + "BLUT": [ 7482 ], + "C0": [ 221 ], + "Z": [ 7486 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7485 ], + "BLUT": [ 7484 ], + "C0": [ 221 ], + "Z": [ 7487 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7486 ], + "D1": [ 7487 ], + "SD": [ 222 ], + "Z": [ 7451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40278": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7197 ], + "B": [ 7200 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 7479 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7488 ], + "B": [ 7489 ], + "C": [ 7490 ], + "D": [ 7491 ], + "Z": [ 7492 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7488 ], + "B": [ 7489 ], + "C": [ 7490 ], + "D": [ 7491 ], + "Z": [ 7493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7488 ], + "B": [ 7489 ], + "C": [ 7490 ], + "D": [ 7491 ], + "Z": [ 7494 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7488 ], + "B": [ 7489 ], + "C": [ 7490 ], + "D": [ 7491 ], + "Z": [ 7495 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7488 ], + "B": [ 7489 ], + "C": [ 7490 ], + "D": [ 7491 ], + "Z": [ 7496 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7488 ], + "B": [ 7489 ], + "C": [ 7490 ], + "D": [ 7491 ], + "Z": [ 7497 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7488 ], + "B": [ 7489 ], + "C": [ 7490 ], + "D": [ 7491 ], + "Z": [ 7498 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7488 ], + "B": [ 7489 ], + "C": [ 7490 ], + "D": [ 7491 ], + "Z": [ 7499 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7493 ], + "BLUT": [ 7492 ], + "C0": [ 7500 ], + "Z": [ 7501 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7495 ], + "BLUT": [ 7494 ], + "C0": [ 7500 ], + "Z": [ 7502 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7497 ], + "BLUT": [ 7496 ], + "C0": [ 7500 ], + "Z": [ 7503 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7499 ], + "BLUT": [ 7498 ], + "C0": [ 7500 ], + "Z": [ 7504 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7501 ], + "D1": [ 7502 ], + "SD": [ 7505 ], + "Z": [ 7506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7503 ], + "D1": [ 7504 ], + "SD": [ 7505 ], + "Z": [ 7507 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7506 ], + "D1": [ 7507 ], + "SD": [ 7508 ], + "Z": [ 210 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40280": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7356 ], + "B": [ 7509 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 7488 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40281": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7248 ], + "B": [ 7510 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 7489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40282": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7250 ], + "B": [ 7511 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 7490 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7255 ], + "B": [ 7258 ], + "C": [ 7512 ], + "D": [ 7513 ], + "Z": [ 7514 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7255 ], + "B": [ 7258 ], + "C": [ 7512 ], + "D": [ 7513 ], + "Z": [ 7515 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7255 ], + "B": [ 7258 ], + "C": [ 7512 ], + "D": [ 7513 ], + "Z": [ 7516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7255 ], + "B": [ 7258 ], + "C": [ 7512 ], + "D": [ 7513 ], + "Z": [ 7517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7515 ], + "BLUT": [ 7514 ], + "C0": [ 221 ], + "Z": [ 7518 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7517 ], + "BLUT": [ 7516 ], + "C0": [ 221 ], + "Z": [ 7519 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7518 ], + "D1": [ 7519 ], + "SD": [ 222 ], + "Z": [ 7491 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7247 ], + "B": [ 7249 ], + "C": [ 7520 ], + "D": [ 7521 ], + "Z": [ 7522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7247 ], + "B": [ 7249 ], + "C": [ 7520 ], + "D": [ 7521 ], + "Z": [ 7523 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7247 ], + "B": [ 7249 ], + "C": [ 7520 ], + "D": [ 7521 ], + "Z": [ 7524 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7247 ], + "B": [ 7249 ], + "C": [ 7520 ], + "D": [ 7521 ], + "Z": [ 7525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7523 ], + "BLUT": [ 7522 ], + "C0": [ 221 ], + "Z": [ 7526 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7525 ], + "BLUT": [ 7524 ], + "C0": [ 221 ], + "Z": [ 7527 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7526 ], + "D1": [ 7527 ], + "SD": [ 222 ], + "Z": [ 7500 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7370 ], + "B": [ 7365 ], + "C": [ 7528 ], + "D": [ 7529 ], + "Z": [ 7530 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7370 ], + "B": [ 7365 ], + "C": [ 7528 ], + "D": [ 7529 ], + "Z": [ 7531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7370 ], + "B": [ 7365 ], + "C": [ 7528 ], + "D": [ 7529 ], + "Z": [ 7532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7370 ], + "B": [ 7365 ], + "C": [ 7528 ], + "D": [ 7529 ], + "Z": [ 7533 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7531 ], + "BLUT": [ 7530 ], + "C0": [ 221 ], + "Z": [ 7534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7533 ], + "BLUT": [ 7532 ], + "C0": [ 221 ], + "Z": [ 7535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7534 ], + "D1": [ 7535 ], + "SD": [ 222 ], + "Z": [ 7505 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7536 ], + "B": [ 7355 ], + "C": [ 7537 ], + "D": [ 7538 ], + "Z": [ 7539 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7536 ], + "B": [ 7355 ], + "C": [ 7537 ], + "D": [ 7538 ], + "Z": [ 7540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7536 ], + "B": [ 7355 ], + "C": [ 7537 ], + "D": [ 7538 ], + "Z": [ 7541 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7536 ], + "B": [ 7355 ], + "C": [ 7537 ], + "D": [ 7538 ], + "Z": [ 7542 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7540 ], + "BLUT": [ 7539 ], + "C0": [ 221 ], + "Z": [ 7543 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7542 ], + "BLUT": [ 7541 ], + "C0": [ 221 ], + "Z": [ 7544 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7543 ], + "D1": [ 7544 ], + "SD": [ 222 ], + "Z": [ 7508 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40287": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7353 ], + "B": [ 7354 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 7536 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7545 ], + "B": [ 7546 ], + "C": [ 7547 ], + "D": [ 7548 ], + "Z": [ 7549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7545 ], + "B": [ 7546 ], + "C": [ 7547 ], + "D": [ 7548 ], + "Z": [ 7550 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7545 ], + "B": [ 7546 ], + "C": [ 7547 ], + "D": [ 7548 ], + "Z": [ 7551 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7545 ], + "B": [ 7546 ], + "C": [ 7547 ], + "D": [ 7548 ], + "Z": [ 7552 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7550 ], + "BLUT": [ 7549 ], + "C0": [ 205 ], + "Z": [ 7553 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7552 ], + "BLUT": [ 7551 ], + "C0": [ 205 ], + "Z": [ 7554 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7553 ], + "D1": [ 7554 ], + "SD": [ 7555 ], + "Z": [ 211 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7556 ], + "B": [ 256 ], + "C": [ 7557 ], + "D": [ 7558 ], + "Z": [ 7559 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7556 ], + "B": [ 256 ], + "C": [ 7557 ], + "D": [ 7558 ], + "Z": [ 7560 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7556 ], + "B": [ 256 ], + "C": [ 7557 ], + "D": [ 7558 ], + "Z": [ 7561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7556 ], + "B": [ 256 ], + "C": [ 7557 ], + "D": [ 7558 ], + "Z": [ 7562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7560 ], + "BLUT": [ 7559 ], + "C0": [ 221 ], + "Z": [ 7563 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7562 ], + "BLUT": [ 7561 ], + "C0": [ 221 ], + "Z": [ 7564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7563 ], + "D1": [ 7564 ], + "SD": [ 222 ], + "Z": [ 7547 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40290": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 245 ], + "B": [ 247 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 7556 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7565 ], + "B": [ 7038 ], + "C": [ 7566 ], + "D": [ 7567 ], + "Z": [ 7568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7565 ], + "B": [ 7038 ], + "C": [ 7566 ], + "D": [ 7567 ], + "Z": [ 7569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7565 ], + "B": [ 7038 ], + "C": [ 7566 ], + "D": [ 7567 ], + "Z": [ 7570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7565 ], + "B": [ 7038 ], + "C": [ 7566 ], + "D": [ 7567 ], + "Z": [ 7571 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7569 ], + "BLUT": [ 7568 ], + "C0": [ 221 ], + "Z": [ 7572 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7571 ], + "BLUT": [ 7570 ], + "C0": [ 221 ], + "Z": [ 7573 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7572 ], + "D1": [ 7573 ], + "SD": [ 222 ], + "Z": [ 7548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40292": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 244 ], + "B": [ 246 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 7565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40293": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7574 ], + "B": [ 7575 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 7545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40294": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7020 ], + "B": [ 7022 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 7574 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40295": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7576 ], + "B": [ 7577 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 7546 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40296": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7019 ], + "B": [ 7021 ], + "C": [ 261 ], + "D": [ "0" ], + "Z": [ 7576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40297": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7031 ], + "B": [ 7578 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 205 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40298": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 52426 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 264 ], + "B": [ 7579 ], + "C": [ 221 ], + "D": [ 222 ], + "Z": [ 7555 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40299": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7567 ], + "B": [ 7038 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 204 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40300": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 221 ], + "B": [ 222 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40301.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7581 ], + "B": [ 7582 ], + "C": [ 216 ], + "D": [ 7442 ], + "Z": [ 7583 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40301.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7581 ], + "B": [ 7582 ], + "C": [ 216 ], + "D": [ 7442 ], + "Z": [ 7584 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40301.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7584 ], + "BLUT": [ 7583 ], + "C0": [ 208 ], + "Z": [ 182 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40302.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7585 ], + "B": [ 7586 ], + "C": [ 7587 ], + "D": [ 7545 ], + "Z": [ 7588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40302.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7585 ], + "B": [ 7586 ], + "C": [ 7587 ], + "D": [ 7545 ], + "Z": [ 7589 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40302.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7589 ], + "BLUT": [ 7588 ], + "C0": [ 208 ], + "Z": [ 183 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40303": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7557 ], + "B": [ 7556 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7587 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40304.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7590 ], + "B": [ 7591 ], + "C": [ 7592 ], + "D": [ 7490 ], + "Z": [ 7593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40304.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7590 ], + "B": [ 7591 ], + "C": [ 7592 ], + "D": [ 7490 ], + "Z": [ 7594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40304.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7594 ], + "BLUT": [ 7593 ], + "C0": [ 208 ], + "Z": [ 184 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40305": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7471 ], + "B": [ 7092 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40306": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7595 ], + "B": [ 7596 ], + "C": [ 7597 ], + "D": [ 7598 ], + "Z": [ 193 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7599 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7600 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7599 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7601 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7599 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7602 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7599 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7603 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7599 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7604 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7599 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7605 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7599 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7606 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7599 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7607 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7601 ], + "BLUT": [ 7600 ], + "C0": [ 211 ], + "Z": [ 7608 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7603 ], + "BLUT": [ 7602 ], + "C0": [ 211 ], + "Z": [ 7609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7605 ], + "BLUT": [ 7604 ], + "C0": [ 211 ], + "Z": [ 7610 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7607 ], + "BLUT": [ 7606 ], + "C0": [ 211 ], + "Z": [ 7611 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7608 ], + "D1": [ 7609 ], + "SD": [ 7612 ], + "Z": [ 7613 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7610 ], + "D1": [ 7611 ], + "SD": [ 7612 ], + "Z": [ 7614 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7613 ], + "D1": [ 7614 ], + "SD": [ 215 ], + "Z": [ 7595 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40308": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7558 ], + "B": [ 256 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7612 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7615 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7615 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7615 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7618 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7615 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7619 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7615 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7615 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7615 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7622 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7615 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7623 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7617 ], + "BLUT": [ 7616 ], + "C0": [ 211 ], + "Z": [ 7624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7619 ], + "BLUT": [ 7618 ], + "C0": [ 211 ], + "Z": [ 7625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7621 ], + "BLUT": [ 7620 ], + "C0": [ 211 ], + "Z": [ 7626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7623 ], + "BLUT": [ 7622 ], + "C0": [ 211 ], + "Z": [ 7627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7624 ], + "D1": [ 7625 ], + "SD": [ 7628 ], + "Z": [ 7629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7626 ], + "D1": [ 7627 ], + "SD": [ 7628 ], + "Z": [ 7630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7629 ], + "D1": [ 7630 ], + "SD": [ 215 ], + "Z": [ 7596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40310": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7529 ], + "B": [ 7365 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7631 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7632 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7631 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7631 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7634 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7631 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7631 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7631 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7637 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7631 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7638 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7631 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7633 ], + "BLUT": [ 7632 ], + "C0": [ 211 ], + "Z": [ 7640 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7635 ], + "BLUT": [ 7634 ], + "C0": [ 211 ], + "Z": [ 7641 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7637 ], + "BLUT": [ 7636 ], + "C0": [ 211 ], + "Z": [ 7642 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7639 ], + "BLUT": [ 7638 ], + "C0": [ 211 ], + "Z": [ 7643 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7640 ], + "D1": [ 7641 ], + "SD": [ 7555 ], + "Z": [ 7644 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7642 ], + "D1": [ 7643 ], + "SD": [ 7555 ], + "Z": [ 7645 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7644 ], + "D1": [ 7645 ], + "SD": [ 215 ], + "Z": [ 7598 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7646 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7647 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7646 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7648 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7646 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7649 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7646 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7650 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7646 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7651 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7646 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7652 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7646 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7653 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7646 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7654 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7648 ], + "BLUT": [ 7647 ], + "C0": [ 211 ], + "Z": [ 7655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7650 ], + "BLUT": [ 7649 ], + "C0": [ 211 ], + "Z": [ 7656 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7652 ], + "BLUT": [ 7651 ], + "C0": [ 211 ], + "Z": [ 7657 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7654 ], + "BLUT": [ 7653 ], + "C0": [ 211 ], + "Z": [ 7658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7655 ], + "D1": [ 7656 ], + "SD": [ 218 ], + "Z": [ 7659 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7657 ], + "D1": [ 7658 ], + "SD": [ 218 ], + "Z": [ 7660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7659 ], + "D1": [ 7660 ], + "SD": [ 215 ], + "Z": [ 7597 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40313.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7661 ], + "B": [ 7662 ], + "C": [ 7663 ], + "D": [ 7664 ], + "Z": [ 7665 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40313.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7661 ], + "B": [ 7662 ], + "C": [ 7663 ], + "D": [ 7664 ], + "Z": [ 7666 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40313.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7666 ], + "BLUT": [ 7665 ], + "C0": [ 208 ], + "Z": [ 198 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40314": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7537 ], + "B": [ 7536 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40315": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7520 ], + "B": [ 7247 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7664 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40316.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7667 ], + "B": [ 7668 ], + "C": [ 7669 ], + "D": [ 7440 ], + "Z": [ 7670 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40316.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7667 ], + "B": [ 7668 ], + "C": [ 7669 ], + "D": [ 7440 ], + "Z": [ 7671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40316.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7671 ], + "BLUT": [ 7670 ], + "C0": [ 208 ], + "Z": [ 201 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40317": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7521 ], + "B": [ 7249 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7672 ], + "B": [ 7673 ], + "C": [ 7674 ], + "D": [ 7675 ], + "Z": [ 7676 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7672 ], + "B": [ 7673 ], + "C": [ 7674 ], + "D": [ 7675 ], + "Z": [ 7677 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7672 ], + "B": [ 7673 ], + "C": [ 7674 ], + "D": [ 7675 ], + "Z": [ 7678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7672 ], + "B": [ 7673 ], + "C": [ 7674 ], + "D": [ 7675 ], + "Z": [ 7679 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7672 ], + "B": [ 7673 ], + "C": [ 7674 ], + "D": [ 7675 ], + "Z": [ 7680 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7672 ], + "B": [ 7673 ], + "C": [ 7674 ], + "D": [ 7675 ], + "Z": [ 7681 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7672 ], + "B": [ 7673 ], + "C": [ 7674 ], + "D": [ 7675 ], + "Z": [ 7682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7672 ], + "B": [ 7673 ], + "C": [ 7674 ], + "D": [ 7675 ], + "Z": [ 7683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7677 ], + "BLUT": [ 7676 ], + "C0": [ 7684 ], + "Z": [ 7685 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7679 ], + "BLUT": [ 7678 ], + "C0": [ 7684 ], + "Z": [ 7686 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7681 ], + "BLUT": [ 7680 ], + "C0": [ 7684 ], + "Z": [ 7687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7683 ], + "BLUT": [ 7682 ], + "C0": [ 7684 ], + "Z": [ 7688 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7685 ], + "D1": [ 7686 ], + "SD": [ 7689 ], + "Z": [ 7690 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7687 ], + "D1": [ 7688 ], + "SD": [ 7689 ], + "Z": [ 7691 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7690 ], + "D1": [ 7691 ], + "SD": [ 7692 ], + "Z": [ 176 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40319.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7693 ], + "B": [ 7694 ], + "C": [ 7695 ], + "D": [ 7488 ], + "Z": [ 7696 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40319.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7693 ], + "B": [ 7694 ], + "C": [ 7695 ], + "D": [ 7488 ], + "Z": [ 7697 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40319.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7697 ], + "BLUT": [ 7696 ], + "C0": [ 208 ], + "Z": [ 7672 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40320": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7472 ], + "B": [ 7097 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7695 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40321.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7698 ], + "B": [ 7699 ], + "C": [ 7700 ], + "D": [ 7489 ], + "Z": [ 7701 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40321.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7698 ], + "B": [ 7699 ], + "C": [ 7700 ], + "D": [ 7489 ], + "Z": [ 7702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40321.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7702 ], + "BLUT": [ 7701 ], + "C0": [ 208 ], + "Z": [ 7673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40322": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7538 ], + "B": [ 7355 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7700 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40323.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7703 ], + "B": [ 7704 ], + "C": [ 7705 ], + "D": [ 7706 ], + "Z": [ 7707 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40323.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7703 ], + "B": [ 7704 ], + "C": [ 7705 ], + "D": [ 7706 ], + "Z": [ 7708 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40323.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7708 ], + "BLUT": [ 7707 ], + "C0": [ 208 ], + "Z": [ 7674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40324": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7481 ], + "B": [ 7209 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7705 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40325": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7480 ], + "B": [ 7479 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40326.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7709 ], + "B": [ 7710 ], + "C": [ 7711 ], + "D": [ 7712 ], + "Z": [ 7713 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40326.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7709 ], + "B": [ 7710 ], + "C": [ 7711 ], + "D": [ 7712 ], + "Z": [ 7714 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40326.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7714 ], + "BLUT": [ 7713 ], + "C0": [ 208 ], + "Z": [ 7675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40327": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7464 ], + "B": [ 7089 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7711 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40328": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7463 ], + "B": [ 7100 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7712 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40329": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7715 ], + "B": [ 7716 ], + "C": [ 7717 ], + "D": [ 7718 ], + "Z": [ 7684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7719 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7719 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7719 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7722 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7719 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7723 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7719 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7724 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7719 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7725 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7719 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7726 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7719 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7721 ], + "BLUT": [ 7720 ], + "C0": [ 211 ], + "Z": [ 7728 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7723 ], + "BLUT": [ 7722 ], + "C0": [ 211 ], + "Z": [ 7729 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7725 ], + "BLUT": [ 7724 ], + "C0": [ 211 ], + "Z": [ 7730 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7727 ], + "BLUT": [ 7726 ], + "C0": [ 211 ], + "Z": [ 7731 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7728 ], + "D1": [ 7729 ], + "SD": [ 7732 ], + "Z": [ 7733 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7730 ], + "D1": [ 7731 ], + "SD": [ 7732 ], + "Z": [ 7734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7733 ], + "D1": [ 7734 ], + "SD": [ 215 ], + "Z": [ 7715 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40331": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7453 ], + "B": [ 7091 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7732 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7735 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7736 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7735 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7737 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7735 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7738 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7735 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7735 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7740 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7735 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7741 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7735 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7742 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7735 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7743 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7737 ], + "BLUT": [ 7736 ], + "C0": [ 211 ], + "Z": [ 7744 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7739 ], + "BLUT": [ 7738 ], + "C0": [ 211 ], + "Z": [ 7745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7741 ], + "BLUT": [ 7740 ], + "C0": [ 211 ], + "Z": [ 7746 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7743 ], + "BLUT": [ 7742 ], + "C0": [ 211 ], + "Z": [ 7747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7744 ], + "D1": [ 7745 ], + "SD": [ 7748 ], + "Z": [ 7749 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7746 ], + "D1": [ 7747 ], + "SD": [ 7748 ], + "Z": [ 7750 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7749 ], + "D1": [ 7750 ], + "SD": [ 215 ], + "Z": [ 7716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40333": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7528 ], + "B": [ 7370 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7748 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7751 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7752 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7751 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7753 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7751 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7754 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7751 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7755 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7751 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7756 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7751 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7751 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7758 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7751 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7759 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7753 ], + "BLUT": [ 7752 ], + "C0": [ 211 ], + "Z": [ 7760 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7755 ], + "BLUT": [ 7754 ], + "C0": [ 211 ], + "Z": [ 7761 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7757 ], + "BLUT": [ 7756 ], + "C0": [ 211 ], + "Z": [ 7762 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7759 ], + "BLUT": [ 7758 ], + "C0": [ 211 ], + "Z": [ 7763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7760 ], + "D1": [ 7761 ], + "SD": [ 7764 ], + "Z": [ 7765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7762 ], + "D1": [ 7763 ], + "SD": [ 7764 ], + "Z": [ 7766 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7765 ], + "D1": [ 7766 ], + "SD": [ 215 ], + "Z": [ 7717 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40335": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7452 ], + "B": [ 7090 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7767 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7768 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7767 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7769 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7767 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7770 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7767 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7767 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7772 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7767 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7773 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7767 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7774 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7767 ], + "B": [ 212 ], + "C": [ 209 ], + "D": [ 210 ], + "Z": [ 7775 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7769 ], + "BLUT": [ 7768 ], + "C0": [ 211 ], + "Z": [ 7776 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7771 ], + "BLUT": [ 7770 ], + "C0": [ 211 ], + "Z": [ 7777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7773 ], + "BLUT": [ 7772 ], + "C0": [ 211 ], + "Z": [ 7778 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7775 ], + "BLUT": [ 7774 ], + "C0": [ 211 ], + "Z": [ 7779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7776 ], + "D1": [ 7777 ], + "SD": [ 217 ], + "Z": [ 7780 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7778 ], + "D1": [ 7779 ], + "SD": [ 217 ], + "Z": [ 7781 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7780 ], + "D1": [ 7781 ], + "SD": [ 215 ], + "Z": [ 7718 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40337.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7782 ], + "B": [ 7783 ], + "C": [ 7784 ], + "D": [ 7546 ], + "Z": [ 7785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40337.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7782 ], + "B": [ 7783 ], + "C": [ 7784 ], + "D": [ 7546 ], + "Z": [ 7786 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40337.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7786 ], + "BLUT": [ 7785 ], + "C0": [ 208 ], + "Z": [ 7689 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40338": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7513 ], + "B": [ 7258 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7784 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40339.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7787 ], + "B": [ 7788 ], + "C": [ 7789 ], + "D": [ 7790 ], + "Z": [ 7791 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40339.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7787 ], + "B": [ 7788 ], + "C": [ 7789 ], + "D": [ 7790 ], + "Z": [ 7792 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40339.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7792 ], + "BLUT": [ 7791 ], + "C0": [ 208 ], + "Z": [ 7692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40340": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7566 ], + "B": [ 7565 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7790 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40341": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7512 ], + "B": [ 7255 ], + "C": [ 7580 ], + "D": [ "0" ], + "Z": [ 7789 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40342": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7590 ], + "B": [ 7592 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 177 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40343.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 240 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 176 ], + "B": [ 175 ], + "C": [ 7793 ], + "D": [ 180 ], + "Z": [ 7794 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40343.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65528 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 176 ], + "B": [ 175 ], + "C": [ 7793 ], + "D": [ 180 ], + "Z": [ 7795 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40343.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7795 ], + "BLUT": [ 7794 ], + "C0": [ 7796 ], + "Z": [ 155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40344": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7704 ], + "B": [ 7705 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 7798 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7799 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 7798 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7800 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 7798 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7801 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 7798 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7802 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 7798 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7803 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 7798 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7804 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 7798 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7805 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 7798 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7806 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7800 ], + "BLUT": [ 7799 ], + "C0": [ 7807 ], + "Z": [ 7808 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7802 ], + "BLUT": [ 7801 ], + "C0": [ 7807 ], + "Z": [ 7809 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7804 ], + "BLUT": [ 7803 ], + "C0": [ 7807 ], + "Z": [ 7810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7806 ], + "BLUT": [ 7805 ], + "C0": [ 7807 ], + "Z": [ 7811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7808 ], + "D1": [ 7809 ], + "SD": [ 7716 ], + "Z": [ 7812 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7810 ], + "D1": [ 7811 ], + "SD": [ 7716 ], + "Z": [ 7813 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7812 ], + "D1": [ 7813 ], + "SD": [ 180 ], + "Z": [ 157 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40346": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7699 ], + "B": [ 7489 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7807 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40347.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7814 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7815 ], + "Z": [ 7816 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40347.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7814 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7815 ], + "Z": [ 7817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40347.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7817 ], + "BLUT": [ 7816 ], + "C0": [ 180 ], + "Z": [ 156 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40348": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7662 ], + "B": [ 7664 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40349.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7818 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7819 ], + "Z": [ 7820 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40349.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7818 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7819 ], + "Z": [ 7821 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40349.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7821 ], + "BLUT": [ 7820 ], + "C0": [ 180 ], + "Z": [ 143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40350": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 202 ], + "B": [ 204 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7819 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 7823 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7824 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 7823 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7825 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 7823 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7826 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 7823 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7827 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 7823 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7828 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 7823 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7829 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 7823 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7830 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 7823 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7831 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7825 ], + "BLUT": [ 7824 ], + "C0": [ 7832 ], + "Z": [ 7833 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7827 ], + "BLUT": [ 7826 ], + "C0": [ 7832 ], + "Z": [ 7834 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7829 ], + "BLUT": [ 7828 ], + "C0": [ 7832 ], + "Z": [ 7835 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7831 ], + "BLUT": [ 7830 ], + "C0": [ 7832 ], + "Z": [ 7836 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7833 ], + "D1": [ 7834 ], + "SD": [ 7717 ], + "Z": [ 7837 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7835 ], + "D1": [ 7836 ], + "SD": [ 7717 ], + "Z": [ 7838 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7837 ], + "D1": [ 7838 ], + "SD": [ 180 ], + "Z": [ 170 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40352": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7668 ], + "B": [ 7669 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7832 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40353.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7839 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7840 ], + "Z": [ 7841 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40353.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7839 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7840 ], + "Z": [ 7842 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40353.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7842 ], + "BLUT": [ 7841 ], + "C0": [ 180 ], + "Z": [ 173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40354": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 203 ], + "B": [ 205 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7840 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7843 ], + "B": [ 7844 ], + "C": [ 7845 ], + "D": [ 7846 ], + "Z": [ 7847 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7843 ], + "B": [ 7844 ], + "C": [ 7845 ], + "D": [ 7846 ], + "Z": [ 7848 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7843 ], + "B": [ 7844 ], + "C": [ 7845 ], + "D": [ 7846 ], + "Z": [ 7849 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7843 ], + "B": [ 7844 ], + "C": [ 7845 ], + "D": [ 7846 ], + "Z": [ 7850 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7843 ], + "B": [ 7844 ], + "C": [ 7845 ], + "D": [ 7846 ], + "Z": [ 7851 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7843 ], + "B": [ 7844 ], + "C": [ 7845 ], + "D": [ 7846 ], + "Z": [ 7852 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7843 ], + "B": [ 7844 ], + "C": [ 7845 ], + "D": [ 7846 ], + "Z": [ 7853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7843 ], + "B": [ 7844 ], + "C": [ 7845 ], + "D": [ 7846 ], + "Z": [ 7854 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7848 ], + "BLUT": [ 7847 ], + "C0": [ 7855 ], + "Z": [ 7856 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7850 ], + "BLUT": [ 7849 ], + "C0": [ 7855 ], + "Z": [ 7857 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7852 ], + "BLUT": [ 7851 ], + "C0": [ 7855 ], + "Z": [ 7858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7854 ], + "BLUT": [ 7853 ], + "C0": [ 7855 ], + "Z": [ 7859 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7856 ], + "D1": [ 7857 ], + "SD": [ 7860 ], + "Z": [ 7861 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7858 ], + "D1": [ 7859 ], + "SD": [ 7860 ], + "Z": [ 7862 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7861 ], + "D1": [ 7862 ], + "SD": [ 7863 ], + "Z": [ 147 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40356.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7864 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7597 ], + "Z": [ 7865 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40356.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7864 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7597 ], + "Z": [ 7866 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40356.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7866 ], + "BLUT": [ 7865 ], + "C0": [ 180 ], + "Z": [ 7843 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40357.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7867 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7868 ], + "Z": [ 7869 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40357.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7867 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7868 ], + "Z": [ 7870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40357.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7870 ], + "BLUT": [ 7869 ], + "C0": [ 180 ], + "Z": [ 7844 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40358": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7694 ], + "B": [ 7488 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7868 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40359.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7871 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7872 ], + "Z": [ 7873 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40359.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7871 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7872 ], + "Z": [ 7874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40359.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7874 ], + "BLUT": [ 7873 ], + "C0": [ 180 ], + "Z": [ 7845 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40360": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7698 ], + "B": [ 7700 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7872 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40361.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7875 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7876 ], + "Z": [ 7877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40361.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7875 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7876 ], + "Z": [ 7878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40361.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7878 ], + "BLUT": [ 7877 ], + "C0": [ 180 ], + "Z": [ 7863 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40362": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7788 ], + "B": [ 7790 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7876 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40363.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7879 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7598 ], + "Z": [ 7880 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40363.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7879 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7598 ], + "Z": [ 7881 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40363.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7881 ], + "BLUT": [ 7880 ], + "C0": [ 180 ], + "Z": [ 7846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 7883 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7884 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 7883 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7885 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 7883 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7886 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 7883 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7887 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 7883 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7888 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 7883 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7889 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 7883 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7890 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 7883 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7891 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7885 ], + "BLUT": [ 7884 ], + "C0": [ 7596 ], + "Z": [ 7892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7887 ], + "BLUT": [ 7886 ], + "C0": [ 7596 ], + "Z": [ 7893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7889 ], + "BLUT": [ 7888 ], + "C0": [ 7596 ], + "Z": [ 7894 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7891 ], + "BLUT": [ 7890 ], + "C0": [ 7596 ], + "Z": [ 7895 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7892 ], + "D1": [ 7893 ], + "SD": [ 7718 ], + "Z": [ 7896 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7894 ], + "D1": [ 7895 ], + "SD": [ 7718 ], + "Z": [ 7897 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7896 ], + "D1": [ 7897 ], + "SD": [ 180 ], + "Z": [ 7860 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40365.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7898 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7899 ], + "Z": [ 7900 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40365.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7898 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7899 ], + "Z": [ 7901 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40365.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7901 ], + "BLUT": [ 7900 ], + "C0": [ 180 ], + "Z": [ 7855 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40366": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7661 ], + "B": [ 7663 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7899 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7902 ], + "B": [ 142 ], + "C": [ 7903 ], + "D": [ 7904 ], + "Z": [ 7905 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7902 ], + "B": [ 142 ], + "C": [ 7903 ], + "D": [ 7904 ], + "Z": [ 7906 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7902 ], + "B": [ 142 ], + "C": [ 7903 ], + "D": [ 7904 ], + "Z": [ 7907 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7902 ], + "B": [ 142 ], + "C": [ 7903 ], + "D": [ 7904 ], + "Z": [ 7908 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7902 ], + "B": [ 142 ], + "C": [ 7903 ], + "D": [ 7904 ], + "Z": [ 7909 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7902 ], + "B": [ 142 ], + "C": [ 7903 ], + "D": [ 7904 ], + "Z": [ 7910 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7902 ], + "B": [ 142 ], + "C": [ 7903 ], + "D": [ 7904 ], + "Z": [ 7911 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7902 ], + "B": [ 142 ], + "C": [ 7903 ], + "D": [ 7904 ], + "Z": [ 7912 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7906 ], + "BLUT": [ 7905 ], + "C0": [ 7913 ], + "Z": [ 7914 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7908 ], + "BLUT": [ 7907 ], + "C0": [ 7913 ], + "Z": [ 7915 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7910 ], + "BLUT": [ 7909 ], + "C0": [ 7913 ], + "Z": [ 7916 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7912 ], + "BLUT": [ 7911 ], + "C0": [ 7913 ], + "Z": [ 7917 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7914 ], + "D1": [ 7915 ], + "SD": [ 7918 ], + "Z": [ 7919 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7916 ], + "D1": [ 7917 ], + "SD": [ 7918 ], + "Z": [ 7920 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7919 ], + "D1": [ 7920 ], + "SD": [ 7921 ], + "Z": [ 148 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40368.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7922 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7923 ], + "Z": [ 7924 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40368.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7922 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7923 ], + "Z": [ 7925 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40368.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7925 ], + "BLUT": [ 7924 ], + "C0": [ 180 ], + "Z": [ 7902 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40369": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7710 ], + "B": [ 7712 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7923 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40370.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7926 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7927 ], + "Z": [ 7928 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40370.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7926 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7927 ], + "Z": [ 7929 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40370.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7929 ], + "BLUT": [ 7928 ], + "C0": [ 180 ], + "Z": [ 142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40371": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7581 ], + "B": [ 7442 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7927 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40372.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7930 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7931 ], + "Z": [ 7932 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40372.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7930 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7931 ], + "Z": [ 7933 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40372.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7933 ], + "BLUT": [ 7932 ], + "C0": [ 180 ], + "Z": [ 7921 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40373": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7585 ], + "B": [ 7545 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7931 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40374.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7934 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7935 ], + "Z": [ 7936 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40374.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7934 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7935 ], + "Z": [ 7937 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40374.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7937 ], + "BLUT": [ 7936 ], + "C0": [ 180 ], + "Z": [ 7903 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40375": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7703 ], + "B": [ 7706 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7935 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40376.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7938 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7939 ], + "Z": [ 7940 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40376.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7938 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7939 ], + "Z": [ 7941 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40376.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7941 ], + "BLUT": [ 7940 ], + "C0": [ 180 ], + "Z": [ 7904 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40377": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7591 ], + "B": [ 7490 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7939 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40378.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7942 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7715 ], + "Z": [ 7943 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40378.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7942 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7715 ], + "Z": [ 7944 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40378.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7944 ], + "BLUT": [ 7943 ], + "C0": [ 180 ], + "Z": [ 7913 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40379.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7945 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7946 ], + "Z": [ 7947 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40379.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7945 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7946 ], + "Z": [ 7948 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40379.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7948 ], + "BLUT": [ 7947 ], + "C0": [ 180 ], + "Z": [ 7918 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40380": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7693 ], + "B": [ 7695 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7946 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7949 ], + "B": [ 7950 ], + "C": [ 7951 ], + "D": [ 7952 ], + "Z": [ 7953 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7949 ], + "B": [ 7950 ], + "C": [ 7951 ], + "D": [ 7952 ], + "Z": [ 7954 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7949 ], + "B": [ 7950 ], + "C": [ 7951 ], + "D": [ 7952 ], + "Z": [ 7955 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7949 ], + "B": [ 7950 ], + "C": [ 7951 ], + "D": [ 7952 ], + "Z": [ 7956 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7949 ], + "B": [ 7950 ], + "C": [ 7951 ], + "D": [ 7952 ], + "Z": [ 7957 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7949 ], + "B": [ 7950 ], + "C": [ 7951 ], + "D": [ 7952 ], + "Z": [ 7958 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7949 ], + "B": [ 7950 ], + "C": [ 7951 ], + "D": [ 7952 ], + "Z": [ 7959 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7949 ], + "B": [ 7950 ], + "C": [ 7951 ], + "D": [ 7952 ], + "Z": [ 7960 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7954 ], + "BLUT": [ 7953 ], + "C0": [ 7961 ], + "Z": [ 7962 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7956 ], + "BLUT": [ 7955 ], + "C0": [ 7961 ], + "Z": [ 7963 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7958 ], + "BLUT": [ 7957 ], + "C0": [ 7961 ], + "Z": [ 7964 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7960 ], + "BLUT": [ 7959 ], + "C0": [ 7961 ], + "Z": [ 7965 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7962 ], + "D1": [ 7963 ], + "SD": [ 7966 ], + "Z": [ 7967 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7964 ], + "D1": [ 7965 ], + "SD": [ 7966 ], + "Z": [ 7968 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 7967 ], + "D1": [ 7968 ], + "SD": [ 7969 ], + "Z": [ 149 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40382.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7970 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7971 ], + "Z": [ 7972 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40382.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7970 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7971 ], + "Z": [ 7973 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40382.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7973 ], + "BLUT": [ 7972 ], + "C0": [ 180 ], + "Z": [ 7949 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40383": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7667 ], + "B": [ 7440 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7971 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40384.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7974 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7975 ], + "Z": [ 7976 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40384.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7974 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7975 ], + "Z": [ 7977 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40384.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7977 ], + "BLUT": [ 7976 ], + "C0": [ 180 ], + "Z": [ 7950 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40385": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7783 ], + "B": [ 7546 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7975 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40386.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7978 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7595 ], + "Z": [ 7979 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40386.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7978 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7595 ], + "Z": [ 7980 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40386.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7980 ], + "BLUT": [ 7979 ], + "C0": [ 180 ], + "Z": [ 7951 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40387.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7981 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7982 ], + "Z": [ 7983 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40387.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7981 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7982 ], + "Z": [ 7984 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40387.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7984 ], + "BLUT": [ 7983 ], + "C0": [ 180 ], + "Z": [ 7952 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40388": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7586 ], + "B": [ 7587 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7982 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40389.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7985 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7986 ], + "Z": [ 7987 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40389.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7985 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7986 ], + "Z": [ 7988 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40389.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7988 ], + "BLUT": [ 7987 ], + "C0": [ 180 ], + "Z": [ 7961 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40390": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7582 ], + "B": [ 216 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7986 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40391.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 240 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 176 ], + "B": [ 175 ], + "C": [ 7989 ], + "D": [ 180 ], + "Z": [ 7990 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40391.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65528 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 176 ], + "B": [ 175 ], + "C": [ 7989 ], + "D": [ 180 ], + "Z": [ 7991 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40391.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7991 ], + "BLUT": [ 7990 ], + "C0": [ 7992 ], + "Z": [ 7966 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40392": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7709 ], + "B": [ 7711 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 7989 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 7994 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7995 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 7994 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7996 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 7994 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7997 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 7994 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7998 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 7994 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 7999 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 7994 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 8000 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 7994 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 8001 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 7994 ], + "C": [ 175 ], + "D": [ 176 ], + "Z": [ 8002 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7996 ], + "BLUT": [ 7995 ], + "C0": [ 8003 ], + "Z": [ 8004 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7998 ], + "BLUT": [ 7997 ], + "C0": [ 8003 ], + "Z": [ 8005 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8000 ], + "BLUT": [ 7999 ], + "C0": [ 8003 ], + "Z": [ 8006 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8002 ], + "BLUT": [ 8001 ], + "C0": [ 8003 ], + "Z": [ 8007 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8004 ], + "D1": [ 8005 ], + "SD": [ 8008 ], + "Z": [ 8009 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8006 ], + "D1": [ 8007 ], + "SD": [ 8008 ], + "Z": [ 8010 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8009 ], + "D1": [ 8010 ], + "SD": [ 180 ], + "Z": [ 7969 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40394": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7782 ], + "B": [ 7784 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 8003 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40395": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7787 ], + "B": [ 7789 ], + "C": [ 208 ], + "D": [ "0" ], + "Z": [ 8008 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40396.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8011 ], + "B": [ 8012 ], + "C": [ 7961 ], + "D": [ 7918 ], + "Z": [ 8013 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40396.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8011 ], + "B": [ 8012 ], + "C": [ 7961 ], + "D": [ 7918 ], + "Z": [ 8014 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40396.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8014 ], + "BLUT": [ 8013 ], + "C0": [ 146 ], + "Z": [ 120 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40397.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8015 ], + "B": [ 8016 ], + "C": [ 7950 ], + "D": [ 7952 ], + "Z": [ 8017 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40397.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8015 ], + "B": [ 8016 ], + "C": [ 7950 ], + "D": [ 7952 ], + "Z": [ 8018 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40397.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8018 ], + "BLUT": [ 8017 ], + "C0": [ 146 ], + "Z": [ 121 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40398.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8019 ], + "B": [ 8020 ], + "C": [ 154 ], + "D": [ 7904 ], + "Z": [ 8021 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40398.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8019 ], + "B": [ 8020 ], + "C": [ 154 ], + "D": [ 7904 ], + "Z": [ 8022 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40398.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8022 ], + "BLUT": [ 8021 ], + "C0": [ 146 ], + "Z": [ 122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8023 ], + "B": [ 8024 ], + "C": [ 8025 ], + "D": [ 8026 ], + "Z": [ 8027 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8023 ], + "B": [ 8024 ], + "C": [ 8025 ], + "D": [ 8026 ], + "Z": [ 8028 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8023 ], + "B": [ 8024 ], + "C": [ 8025 ], + "D": [ 8026 ], + "Z": [ 8029 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8023 ], + "B": [ 8024 ], + "C": [ 8025 ], + "D": [ 8026 ], + "Z": [ 8030 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8023 ], + "B": [ 8024 ], + "C": [ 8025 ], + "D": [ 8026 ], + "Z": [ 8031 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8023 ], + "B": [ 8024 ], + "C": [ 8025 ], + "D": [ 8026 ], + "Z": [ 8032 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8023 ], + "B": [ 8024 ], + "C": [ 8025 ], + "D": [ 8026 ], + "Z": [ 8033 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8023 ], + "B": [ 8024 ], + "C": [ 8025 ], + "D": [ 8026 ], + "Z": [ 8034 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8028 ], + "BLUT": [ 8027 ], + "C0": [ 8035 ], + "Z": [ 8036 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8030 ], + "BLUT": [ 8029 ], + "C0": [ 8035 ], + "Z": [ 8037 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8032 ], + "BLUT": [ 8031 ], + "C0": [ 8035 ], + "Z": [ 8038 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8034 ], + "BLUT": [ 8033 ], + "C0": [ 8035 ], + "Z": [ 8039 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8036 ], + "D1": [ 8037 ], + "SD": [ 8040 ], + "Z": [ 8041 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8038 ], + "D1": [ 8039 ], + "SD": [ 8040 ], + "Z": [ 8042 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8041 ], + "D1": [ 8042 ], + "SD": [ 146 ], + "Z": [ 131 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8043 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8044 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8043 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8045 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8043 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8046 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8043 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8047 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8043 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8048 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8043 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8049 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8043 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8050 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8043 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8051 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8045 ], + "BLUT": [ 8044 ], + "C0": [ 149 ], + "Z": [ 8052 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8047 ], + "BLUT": [ 8046 ], + "C0": [ 149 ], + "Z": [ 8053 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8049 ], + "BLUT": [ 8048 ], + "C0": [ 149 ], + "Z": [ 8054 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8051 ], + "BLUT": [ 8050 ], + "C0": [ 149 ], + "Z": [ 8055 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8052 ], + "D1": [ 8053 ], + "SD": [ 7913 ], + "Z": [ 8056 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8054 ], + "D1": [ 8055 ], + "SD": [ 7913 ], + "Z": [ 8057 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8056 ], + "D1": [ 8057 ], + "SD": [ 153 ], + "Z": [ 8035 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8058 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8059 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8058 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8060 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8058 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8061 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8058 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8062 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8058 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8063 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8058 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8064 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8058 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8065 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8058 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8066 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8060 ], + "BLUT": [ 8059 ], + "C0": [ 149 ], + "Z": [ 8067 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8062 ], + "BLUT": [ 8061 ], + "C0": [ 149 ], + "Z": [ 8068 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8064 ], + "BLUT": [ 8063 ], + "C0": [ 149 ], + "Z": [ 8069 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8066 ], + "BLUT": [ 8065 ], + "C0": [ 149 ], + "Z": [ 8070 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8067 ], + "D1": [ 8068 ], + "SD": [ 8071 ], + "Z": [ 8072 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8069 ], + "D1": [ 8070 ], + "SD": [ 8071 ], + "Z": [ 8073 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8072 ], + "D1": [ 8073 ], + "SD": [ 153 ], + "Z": [ 8040 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40402.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7717 ], + "Z": [ 8074 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40402.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7822 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7717 ], + "Z": [ 8075 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40402.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8075 ], + "BLUT": [ 8074 ], + "C0": [ 180 ], + "Z": [ 8071 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40403.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7798 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7716 ], + "Z": [ 8076 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40403.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7798 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7716 ], + "Z": [ 8077 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40403.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8077 ], + "BLUT": [ 8076 ], + "C0": [ 180 ], + "Z": [ 8025 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40404.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7718 ], + "Z": [ 8078 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40404.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7882 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7718 ], + "Z": [ 8079 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40404.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8079 ], + "BLUT": [ 8078 ], + "C0": [ 180 ], + "Z": [ 8026 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40405.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8080 ], + "B": [ 8081 ], + "C": [ 7845 ], + "D": [ 7855 ], + "Z": [ 8082 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40405.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8080 ], + "B": [ 8081 ], + "C": [ 7845 ], + "D": [ 7855 ], + "Z": [ 8083 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40405.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8083 ], + "BLUT": [ 8082 ], + "C0": [ 146 ], + "Z": [ 136 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40406.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8084 ], + "B": [ 8085 ], + "C": [ 7903 ], + "D": [ 7844 ], + "Z": [ 8086 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40406.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8084 ], + "B": [ 8085 ], + "C": [ 7903 ], + "D": [ 7844 ], + "Z": [ 8087 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40406.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8087 ], + "BLUT": [ 8086 ], + "C0": [ 146 ], + "Z": [ 139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8088 ], + "B": [ 8089 ], + "C": [ 8090 ], + "D": [ 8091 ], + "Z": [ 8092 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8088 ], + "B": [ 8089 ], + "C": [ 8090 ], + "D": [ 8091 ], + "Z": [ 8093 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8088 ], + "B": [ 8089 ], + "C": [ 8090 ], + "D": [ 8091 ], + "Z": [ 8094 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8088 ], + "B": [ 8089 ], + "C": [ 8090 ], + "D": [ 8091 ], + "Z": [ 8095 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8088 ], + "B": [ 8089 ], + "C": [ 8090 ], + "D": [ 8091 ], + "Z": [ 8096 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8088 ], + "B": [ 8089 ], + "C": [ 8090 ], + "D": [ 8091 ], + "Z": [ 8097 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8088 ], + "B": [ 8089 ], + "C": [ 8090 ], + "D": [ 8091 ], + "Z": [ 8098 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8088 ], + "B": [ 8089 ], + "C": [ 8090 ], + "D": [ 8091 ], + "Z": [ 8099 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8093 ], + "BLUT": [ 8092 ], + "C0": [ 8100 ], + "Z": [ 8101 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8095 ], + "BLUT": [ 8094 ], + "C0": [ 8100 ], + "Z": [ 8102 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8097 ], + "BLUT": [ 8096 ], + "C0": [ 8100 ], + "Z": [ 8103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8099 ], + "BLUT": [ 8098 ], + "C0": [ 8100 ], + "Z": [ 8104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8101 ], + "D1": [ 8102 ], + "SD": [ 8105 ], + "Z": [ 8106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8103 ], + "D1": [ 8104 ], + "SD": [ 8105 ], + "Z": [ 8107 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8106 ], + "D1": [ 8107 ], + "SD": [ 8108 ], + "Z": [ 114 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40408.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8109 ], + "B": [ 8110 ], + "C": [ 7846 ], + "D": [ 7921 ], + "Z": [ 8111 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40408.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8109 ], + "B": [ 8110 ], + "C": [ 7846 ], + "D": [ 7921 ], + "Z": [ 8112 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40408.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8112 ], + "BLUT": [ 8111 ], + "C0": [ 146 ], + "Z": [ 8088 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40409.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8113 ], + "B": [ 8114 ], + "C": [ 8115 ], + "D": [ 8116 ], + "Z": [ 8117 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40409.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8113 ], + "B": [ 8114 ], + "C": [ 8115 ], + "D": [ 8116 ], + "Z": [ 8118 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40409.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8118 ], + "BLUT": [ 8117 ], + "C0": [ 146 ], + "Z": [ 8089 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40410.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7823 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7832 ], + "Z": [ 8119 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40410.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7823 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7832 ], + "Z": [ 8120 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40410.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8120 ], + "BLUT": [ 8119 ], + "C0": [ 180 ], + "Z": [ 8115 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40411.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7883 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7596 ], + "Z": [ 8121 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40411.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7883 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7596 ], + "Z": [ 8122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40411.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8122 ], + "BLUT": [ 8121 ], + "C0": [ 180 ], + "Z": [ 8116 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40412.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8123 ], + "B": [ 8124 ], + "C": [ 7966 ], + "D": [ 156 ], + "Z": [ 8125 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40412.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8123 ], + "B": [ 8124 ], + "C": [ 7966 ], + "D": [ 156 ], + "Z": [ 8126 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40412.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8126 ], + "BLUT": [ 8125 ], + "C0": [ 146 ], + "Z": [ 8090 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40413.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8127 ], + "B": [ 8128 ], + "C": [ 7949 ], + "D": [ 173 ], + "Z": [ 8129 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40413.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3840 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8127 ], + "B": [ 8128 ], + "C": [ 7949 ], + "D": [ 173 ], + "Z": [ 8130 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40413.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8130 ], + "BLUT": [ 8129 ], + "C0": [ 146 ], + "Z": [ 8091 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8131 ], + "B": [ 8132 ], + "C": [ 8133 ], + "D": [ 8134 ], + "Z": [ 8135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8131 ], + "B": [ 8132 ], + "C": [ 8133 ], + "D": [ 8134 ], + "Z": [ 8136 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8131 ], + "B": [ 8132 ], + "C": [ 8133 ], + "D": [ 8134 ], + "Z": [ 8137 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8131 ], + "B": [ 8132 ], + "C": [ 8133 ], + "D": [ 8134 ], + "Z": [ 8138 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8131 ], + "B": [ 8132 ], + "C": [ 8133 ], + "D": [ 8134 ], + "Z": [ 8139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8131 ], + "B": [ 8132 ], + "C": [ 8133 ], + "D": [ 8134 ], + "Z": [ 8140 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8131 ], + "B": [ 8132 ], + "C": [ 8133 ], + "D": [ 8134 ], + "Z": [ 8141 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8131 ], + "B": [ 8132 ], + "C": [ 8133 ], + "D": [ 8134 ], + "Z": [ 8142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8136 ], + "BLUT": [ 8135 ], + "C0": [ 146 ], + "Z": [ 8143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8138 ], + "BLUT": [ 8137 ], + "C0": [ 146 ], + "Z": [ 8144 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8140 ], + "BLUT": [ 8139 ], + "C0": [ 146 ], + "Z": [ 8145 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8142 ], + "BLUT": [ 8141 ], + "C0": [ 146 ], + "Z": [ 8146 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8143 ], + "D1": [ 8144 ], + "SD": [ 8147 ], + "Z": [ 8148 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8145 ], + "D1": [ 8146 ], + "SD": [ 8147 ], + "Z": [ 8149 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8148 ], + "D1": [ 8149 ], + "SD": [ 8150 ], + "Z": [ 8100 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8151 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8152 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8151 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8153 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8151 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8154 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8151 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8151 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8156 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8151 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8157 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8151 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8158 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8151 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8159 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8153 ], + "BLUT": [ 8152 ], + "C0": [ 149 ], + "Z": [ 8160 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8155 ], + "BLUT": [ 8154 ], + "C0": [ 149 ], + "Z": [ 8161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8157 ], + "BLUT": [ 8156 ], + "C0": [ 149 ], + "Z": [ 8162 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8159 ], + "BLUT": [ 8158 ], + "C0": [ 149 ], + "Z": [ 8163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8160 ], + "D1": [ 8161 ], + "SD": [ 7951 ], + "Z": [ 8164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8162 ], + "D1": [ 8163 ], + "SD": [ 7951 ], + "Z": [ 8165 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8164 ], + "D1": [ 8165 ], + "SD": [ 153 ], + "Z": [ 8150 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8166 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8167 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8166 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8166 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8169 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8166 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8170 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8166 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8171 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8166 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8172 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8166 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8166 ], + "B": [ 150 ], + "C": [ 147 ], + "D": [ 148 ], + "Z": [ 8174 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8168 ], + "BLUT": [ 8167 ], + "C0": [ 149 ], + "Z": [ 8175 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8170 ], + "BLUT": [ 8169 ], + "C0": [ 149 ], + "Z": [ 8176 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8172 ], + "BLUT": [ 8171 ], + "C0": [ 149 ], + "Z": [ 8177 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8174 ], + "BLUT": [ 8173 ], + "C0": [ 149 ], + "Z": [ 8178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8175 ], + "D1": [ 8176 ], + "SD": [ 7863 ], + "Z": [ 8179 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8177 ], + "D1": [ 8178 ], + "SD": [ 7863 ], + "Z": [ 8180 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8179 ], + "D1": [ 8180 ], + "SD": [ 153 ], + "Z": [ 8147 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40417.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 8008 ], + "Z": [ 8181 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40417.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7993 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 8008 ], + "Z": [ 8182 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40417.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8182 ], + "BLUT": [ 8181 ], + "C0": [ 180 ], + "Z": [ 8133 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40418.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7994 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 8003 ], + "Z": [ 8183 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40418.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7994 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 8003 ], + "Z": [ 8184 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40418.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8184 ], + "BLUT": [ 8183 ], + "C0": [ 180 ], + "Z": [ 8134 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40419.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8185 ], + "B": [ 8186 ], + "C": [ 7843 ], + "D": [ 155 ], + "Z": [ 8187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40419.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8185 ], + "B": [ 8186 ], + "C": [ 7843 ], + "D": [ 155 ], + "Z": [ 8188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40419.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8188 ], + "BLUT": [ 8187 ], + "C0": [ 146 ], + "Z": [ 8105 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40420.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8189 ], + "B": [ 8190 ], + "C": [ 7902 ], + "D": [ 8191 ], + "Z": [ 8192 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40420.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 15 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8189 ], + "B": [ 8190 ], + "C": [ 7902 ], + "D": [ 8191 ], + "Z": [ 8193 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40420.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8193 ], + "BLUT": [ 8192 ], + "C0": [ 146 ], + "Z": [ 8108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40421.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7807 ], + "Z": [ 8194 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40421.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7797 ], + "B": [ 175 ], + "C": [ 176 ], + "D": [ 7807 ], + "Z": [ 8195 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40421.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8195 ], + "BLUT": [ 8194 ], + "C0": [ 180 ], + "Z": [ 8191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40422": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8186 ], + "B": [ 155 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 115 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40423.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8196 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8197 ], + "Z": [ 8198 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40423.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8196 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8197 ], + "Z": [ 8199 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40423.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8199 ], + "BLUT": [ 8198 ], + "C0": [ 118 ], + "Z": [ 101 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40424": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8114 ], + "B": [ 8116 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8197 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40425.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8200 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8201 ], + "Z": [ 8202 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40425.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8200 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8201 ], + "Z": [ 8203 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40425.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8203 ], + "BLUT": [ 8202 ], + "C0": [ 118 ], + "Z": [ 102 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40426": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8127 ], + "B": [ 7949 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8201 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40427.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8204 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8205 ], + "Z": [ 8206 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40427.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8204 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8205 ], + "Z": [ 8207 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40427.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8207 ], + "BLUT": [ 8206 ], + "C0": [ 118 ], + "Z": [ 103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40428": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8124 ], + "B": [ 7966 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8205 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40429.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8208 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8209 ], + "Z": [ 8210 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40429.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8208 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8209 ], + "Z": [ 8211 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40429.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8211 ], + "BLUT": [ 8210 ], + "C0": [ 118 ], + "Z": [ 108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40430": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8020 ], + "B": [ 154 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8209 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40431.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8212 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8213 ], + "Z": [ 8214 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40431.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8212 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8213 ], + "Z": [ 8215 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40431.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8215 ], + "BLUT": [ 8214 ], + "C0": [ 118 ], + "Z": [ 111 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40432": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8024 ], + "B": [ 8026 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8213 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8216 ], + "B": [ 8217 ], + "C": [ 8218 ], + "D": [ 8219 ], + "Z": [ 8220 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8216 ], + "B": [ 8217 ], + "C": [ 8218 ], + "D": [ 8219 ], + "Z": [ 8221 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8216 ], + "B": [ 8217 ], + "C": [ 8218 ], + "D": [ 8219 ], + "Z": [ 8222 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8216 ], + "B": [ 8217 ], + "C": [ 8218 ], + "D": [ 8219 ], + "Z": [ 8223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8216 ], + "B": [ 8217 ], + "C": [ 8218 ], + "D": [ 8219 ], + "Z": [ 8224 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8216 ], + "B": [ 8217 ], + "C": [ 8218 ], + "D": [ 8219 ], + "Z": [ 8225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8216 ], + "B": [ 8217 ], + "C": [ 8218 ], + "D": [ 8219 ], + "Z": [ 8226 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8216 ], + "B": [ 8217 ], + "C": [ 8218 ], + "D": [ 8219 ], + "Z": [ 8227 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8221 ], + "BLUT": [ 8220 ], + "C0": [ 8228 ], + "Z": [ 8229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8223 ], + "BLUT": [ 8222 ], + "C0": [ 8228 ], + "Z": [ 8230 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8225 ], + "BLUT": [ 8224 ], + "C0": [ 8228 ], + "Z": [ 8231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8227 ], + "BLUT": [ 8226 ], + "C0": [ 8228 ], + "Z": [ 8232 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8229 ], + "D1": [ 8230 ], + "SD": [ 8233 ], + "Z": [ 8234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8231 ], + "D1": [ 8232 ], + "SD": [ 8233 ], + "Z": [ 8235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8234 ], + "D1": [ 8235 ], + "SD": [ 8236 ], + "Z": [ 93 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40434.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8237 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8238 ], + "Z": [ 8239 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40434.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8237 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8238 ], + "Z": [ 8240 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40434.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8240 ], + "BLUT": [ 8239 ], + "C0": [ 118 ], + "Z": [ 8216 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40435": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8012 ], + "B": [ 7961 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8238 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40436.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8241 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8242 ], + "Z": [ 8243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40436.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8241 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8242 ], + "Z": [ 8244 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40436.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8244 ], + "BLUT": [ 8243 ], + "C0": [ 118 ], + "Z": [ 8217 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40437": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8113 ], + "B": [ 8115 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8242 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40438.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8245 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8150 ], + "Z": [ 8246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40438.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8245 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8150 ], + "Z": [ 8247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40438.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8247 ], + "BLUT": [ 8246 ], + "C0": [ 118 ], + "Z": [ 8236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40439.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8248 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8249 ], + "Z": [ 8250 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40439.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8248 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8249 ], + "Z": [ 8251 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40439.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8251 ], + "BLUT": [ 8250 ], + "C0": [ 118 ], + "Z": [ 8218 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40440": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8081 ], + "B": [ 7855 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8249 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40441.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8252 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8253 ], + "Z": [ 8254 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40441.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8252 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8253 ], + "Z": [ 8255 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40441.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8255 ], + "BLUT": [ 8254 ], + "C0": [ 118 ], + "Z": [ 8228 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40442": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8110 ], + "B": [ 7921 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8253 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40443.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8256 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8257 ], + "Z": [ 8258 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40443.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8256 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8257 ], + "Z": [ 8259 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40443.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8259 ], + "BLUT": [ 8258 ], + "C0": [ 118 ], + "Z": [ 8233 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40444": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8016 ], + "B": [ 7952 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8257 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40445.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8260 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8261 ], + "Z": [ 8262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40445.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8260 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8261 ], + "Z": [ 8263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40445.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8263 ], + "BLUT": [ 8262 ], + "C0": [ 118 ], + "Z": [ 8219 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40446": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8015 ], + "B": [ 7950 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8264 ], + "B": [ 8265 ], + "C": [ 8266 ], + "D": [ 8267 ], + "Z": [ 8268 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8264 ], + "B": [ 8265 ], + "C": [ 8266 ], + "D": [ 8267 ], + "Z": [ 8269 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8264 ], + "B": [ 8265 ], + "C": [ 8266 ], + "D": [ 8267 ], + "Z": [ 8270 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8264 ], + "B": [ 8265 ], + "C": [ 8266 ], + "D": [ 8267 ], + "Z": [ 8271 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8264 ], + "B": [ 8265 ], + "C": [ 8266 ], + "D": [ 8267 ], + "Z": [ 8272 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8264 ], + "B": [ 8265 ], + "C": [ 8266 ], + "D": [ 8267 ], + "Z": [ 8273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8264 ], + "B": [ 8265 ], + "C": [ 8266 ], + "D": [ 8267 ], + "Z": [ 8274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8264 ], + "B": [ 8265 ], + "C": [ 8266 ], + "D": [ 8267 ], + "Z": [ 8275 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8269 ], + "BLUT": [ 8268 ], + "C0": [ 8276 ], + "Z": [ 8277 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8271 ], + "BLUT": [ 8270 ], + "C0": [ 8276 ], + "Z": [ 8278 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8273 ], + "BLUT": [ 8272 ], + "C0": [ 8276 ], + "Z": [ 8279 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8275 ], + "BLUT": [ 8274 ], + "C0": [ 8276 ], + "Z": [ 8280 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8277 ], + "D1": [ 8278 ], + "SD": [ 8281 ], + "Z": [ 8282 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8279 ], + "D1": [ 8280 ], + "SD": [ 8281 ], + "Z": [ 8283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8282 ], + "D1": [ 8283 ], + "SD": [ 8284 ], + "Z": [ 94 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40448.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8285 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8286 ], + "Z": [ 8287 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40448.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8285 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8286 ], + "Z": [ 8288 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40448.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8288 ], + "BLUT": [ 8287 ], + "C0": [ 118 ], + "Z": [ 8264 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40449": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8123 ], + "B": [ 156 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8286 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40450.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8289 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8290 ], + "Z": [ 8291 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40450.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8289 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8290 ], + "Z": [ 8292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40450.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8292 ], + "BLUT": [ 8291 ], + "C0": [ 118 ], + "Z": [ 8265 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40451": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8080 ], + "B": [ 7845 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8290 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40452.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8293 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8294 ], + "Z": [ 8295 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40452.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8293 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8294 ], + "Z": [ 8296 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40452.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8296 ], + "BLUT": [ 8295 ], + "C0": [ 118 ], + "Z": [ 8266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40453": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8084 ], + "B": [ 7844 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8294 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40454.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8297 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8298 ], + "Z": [ 8299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40454.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8297 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8298 ], + "Z": [ 8300 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40454.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8300 ], + "BLUT": [ 8299 ], + "C0": [ 118 ], + "Z": [ 8267 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40455": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8189 ], + "B": [ 8191 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8298 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40456.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8301 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8302 ], + "Z": [ 8303 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40456.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8301 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8302 ], + "Z": [ 8304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40456.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8304 ], + "BLUT": [ 8303 ], + "C0": [ 118 ], + "Z": [ 8276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40457": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8023 ], + "B": [ 8025 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8302 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40458.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8305 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8306 ], + "Z": [ 8307 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40458.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8305 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8306 ], + "Z": [ 8308 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40458.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8308 ], + "BLUT": [ 8307 ], + "C0": [ 118 ], + "Z": [ 8281 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40459": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8185 ], + "B": [ 7843 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8306 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40460.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8309 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8040 ], + "Z": [ 8310 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40460.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8309 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8040 ], + "Z": [ 8311 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40460.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8311 ], + "BLUT": [ 8310 ], + "C0": [ 118 ], + "Z": [ 8284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8312 ], + "B": [ 8313 ], + "C": [ 8314 ], + "D": [ 8315 ], + "Z": [ 8316 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8312 ], + "B": [ 8313 ], + "C": [ 8314 ], + "D": [ 8315 ], + "Z": [ 8317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8312 ], + "B": [ 8313 ], + "C": [ 8314 ], + "D": [ 8315 ], + "Z": [ 8318 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8312 ], + "B": [ 8313 ], + "C": [ 8314 ], + "D": [ 8315 ], + "Z": [ 8319 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8312 ], + "B": [ 8313 ], + "C": [ 8314 ], + "D": [ 8315 ], + "Z": [ 8320 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8312 ], + "B": [ 8313 ], + "C": [ 8314 ], + "D": [ 8315 ], + "Z": [ 8321 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8312 ], + "B": [ 8313 ], + "C": [ 8314 ], + "D": [ 8315 ], + "Z": [ 8322 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8312 ], + "B": [ 8313 ], + "C": [ 8314 ], + "D": [ 8315 ], + "Z": [ 8323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8317 ], + "BLUT": [ 8316 ], + "C0": [ 8324 ], + "Z": [ 8325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8319 ], + "BLUT": [ 8318 ], + "C0": [ 8324 ], + "Z": [ 8326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8321 ], + "BLUT": [ 8320 ], + "C0": [ 8324 ], + "Z": [ 8327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8323 ], + "BLUT": [ 8322 ], + "C0": [ 8324 ], + "Z": [ 8328 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8325 ], + "D1": [ 8326 ], + "SD": [ 8329 ], + "Z": [ 8330 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8327 ], + "D1": [ 8328 ], + "SD": [ 8329 ], + "Z": [ 8331 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8330 ], + "D1": [ 8331 ], + "SD": [ 8332 ], + "Z": [ 95 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40462.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8333 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8334 ], + "Z": [ 8335 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40462.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8333 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8334 ], + "Z": [ 8336 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40462.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8336 ], + "BLUT": [ 8335 ], + "C0": [ 118 ], + "Z": [ 8312 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40463": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8190 ], + "B": [ 7902 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8334 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 8338 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8339 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 8338 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8340 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 8338 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 8338 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8342 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 8338 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8343 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 8338 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 8338 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 8338 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8340 ], + "BLUT": [ 8339 ], + "C0": [ 8347 ], + "Z": [ 8348 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8342 ], + "BLUT": [ 8341 ], + "C0": [ 8347 ], + "Z": [ 8349 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8344 ], + "BLUT": [ 8343 ], + "C0": [ 8347 ], + "Z": [ 8350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8346 ], + "BLUT": [ 8345 ], + "C0": [ 8347 ], + "Z": [ 8351 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8348 ], + "D1": [ 8349 ], + "SD": [ 8352 ], + "Z": [ 8353 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8350 ], + "D1": [ 8351 ], + "SD": [ 8352 ], + "Z": [ 8354 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8353 ], + "D1": [ 8354 ], + "SD": [ 118 ], + "Z": [ 8314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40465": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8019 ], + "B": [ 7904 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8347 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40466": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8132 ], + "B": [ 8134 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8352 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40467.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8355 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8356 ], + "Z": [ 8357 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40467.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8355 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8356 ], + "Z": [ 8358 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40467.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8358 ], + "BLUT": [ 8357 ], + "C0": [ 118 ], + "Z": [ 8313 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40468": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8011 ], + "B": [ 7918 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8356 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 8360 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8361 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 8360 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8362 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 8360 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8363 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 8360 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8364 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 8360 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8365 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 8360 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8366 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 8360 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8367 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 8360 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8368 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8362 ], + "BLUT": [ 8361 ], + "C0": [ 8369 ], + "Z": [ 8370 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8364 ], + "BLUT": [ 8363 ], + "C0": [ 8369 ], + "Z": [ 8371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8366 ], + "BLUT": [ 8365 ], + "C0": [ 8369 ], + "Z": [ 8372 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8368 ], + "BLUT": [ 8367 ], + "C0": [ 8369 ], + "Z": [ 8373 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8370 ], + "D1": [ 8371 ], + "SD": [ 8035 ], + "Z": [ 8374 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8372 ], + "D1": [ 8373 ], + "SD": [ 8035 ], + "Z": [ 8375 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8374 ], + "D1": [ 8375 ], + "SD": [ 118 ], + "Z": [ 8315 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40470": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8131 ], + "B": [ 8133 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8369 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 8377 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8378 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 8377 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 8377 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8380 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 8377 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8381 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 8377 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8382 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 8377 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8383 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 8377 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 8377 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8385 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8379 ], + "BLUT": [ 8378 ], + "C0": [ 8386 ], + "Z": [ 8387 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8381 ], + "BLUT": [ 8380 ], + "C0": [ 8386 ], + "Z": [ 8388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8383 ], + "BLUT": [ 8382 ], + "C0": [ 8386 ], + "Z": [ 8389 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8385 ], + "BLUT": [ 8384 ], + "C0": [ 8386 ], + "Z": [ 8390 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8387 ], + "D1": [ 8388 ], + "SD": [ 8391 ], + "Z": [ 8392 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8389 ], + "D1": [ 8390 ], + "SD": [ 8391 ], + "Z": [ 8393 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8392 ], + "D1": [ 8393 ], + "SD": [ 118 ], + "Z": [ 8324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40472": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 140 ], + "B": [ 142 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8386 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40473": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8085 ], + "B": [ 7903 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8391 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8191 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 8395 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8396 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 8395 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8397 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 8395 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8398 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 8395 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8399 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 8395 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8400 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 8395 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8401 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 8395 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8402 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4369 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 8395 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8403 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8397 ], + "BLUT": [ 8396 ], + "C0": [ 8404 ], + "Z": [ 8405 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8399 ], + "BLUT": [ 8398 ], + "C0": [ 8404 ], + "Z": [ 8406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8401 ], + "BLUT": [ 8400 ], + "C0": [ 8404 ], + "Z": [ 8407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8403 ], + "BLUT": [ 8402 ], + "C0": [ 8404 ], + "Z": [ 8408 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8405 ], + "D1": [ 8406 ], + "SD": [ 8409 ], + "Z": [ 8410 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8407 ], + "D1": [ 8408 ], + "SD": [ 8409 ], + "Z": [ 8411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8410 ], + "D1": [ 8411 ], + "SD": [ 118 ], + "Z": [ 8329 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40475": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 141 ], + "B": [ 143 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8404 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40476": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8109 ], + "B": [ 7846 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8409 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 8413 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8414 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 8413 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8415 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 20479 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 8413 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8416 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 8413 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8417 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 8413 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8418 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 8413 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8419 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 8413 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8420 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 17476 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 8413 ], + "C": [ 113 ], + "D": [ 114 ], + "Z": [ 8421 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8415 ], + "BLUT": [ 8414 ], + "C0": [ 8422 ], + "Z": [ 8423 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8417 ], + "BLUT": [ 8416 ], + "C0": [ 8422 ], + "Z": [ 8424 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8419 ], + "BLUT": [ 8418 ], + "C0": [ 8422 ], + "Z": [ 8425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8421 ], + "BLUT": [ 8420 ], + "C0": [ 8422 ], + "Z": [ 8426 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8423 ], + "D1": [ 8424 ], + "SD": [ 8147 ], + "Z": [ 8427 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8425 ], + "D1": [ 8426 ], + "SD": [ 8147 ], + "Z": [ 8428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8427 ], + "D1": [ 8428 ], + "SD": [ 118 ], + "Z": [ 8332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40478": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8128 ], + "B": [ 173 ], + "C": [ 146 ], + "D": [ "0" ], + "Z": [ 8422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40479.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8395 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8404 ], + "Z": [ 8429 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40479.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8395 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8404 ], + "Z": [ 8430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40479.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8430 ], + "BLUT": [ 8429 ], + "C0": [ 118 ], + "Z": [ 88 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40480.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8422 ], + "Z": [ 8431 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40480.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8412 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8422 ], + "Z": [ 8432 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40480.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8432 ], + "BLUT": [ 8431 ], + "C0": [ 118 ], + "Z": [ 89 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8433 ], + "B": [ 8434 ], + "C": [ 8435 ], + "D": [ 8436 ], + "Z": [ 8437 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8433 ], + "B": [ 8434 ], + "C": [ 8435 ], + "D": [ 8436 ], + "Z": [ 8438 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8433 ], + "B": [ 8434 ], + "C": [ 8435 ], + "D": [ 8436 ], + "Z": [ 8439 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8433 ], + "B": [ 8434 ], + "C": [ 8435 ], + "D": [ 8436 ], + "Z": [ 8440 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8438 ], + "BLUT": [ 8437 ], + "C0": [ 8441 ], + "Z": [ 8442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8440 ], + "BLUT": [ 8439 ], + "C0": [ 8441 ], + "Z": [ 8443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8442 ], + "D1": [ 8443 ], + "SD": [ 8444 ], + "Z": [ 64 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8445 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8446 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8445 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8445 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8448 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8445 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8449 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8445 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8450 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8445 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8445 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8452 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8445 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8453 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8447 ], + "BLUT": [ 8446 ], + "C0": [ 95 ], + "Z": [ 8454 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8449 ], + "BLUT": [ 8448 ], + "C0": [ 95 ], + "Z": [ 8455 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8451 ], + "BLUT": [ 8450 ], + "C0": [ 95 ], + "Z": [ 8456 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8453 ], + "BLUT": [ 8452 ], + "C0": [ 95 ], + "Z": [ 8457 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8454 ], + "D1": [ 8455 ], + "SD": [ 103 ], + "Z": [ 8458 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8456 ], + "D1": [ 8457 ], + "SD": [ 103 ], + "Z": [ 8459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8458 ], + "D1": [ 8459 ], + "SD": [ 99 ], + "Z": [ 8433 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8460 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8461 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8460 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8462 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8460 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8463 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8460 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8464 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8460 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8460 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8466 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8460 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8467 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8460 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8468 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8462 ], + "BLUT": [ 8461 ], + "C0": [ 95 ], + "Z": [ 8469 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8464 ], + "BLUT": [ 8463 ], + "C0": [ 95 ], + "Z": [ 8470 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8466 ], + "BLUT": [ 8465 ], + "C0": [ 95 ], + "Z": [ 8471 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8468 ], + "BLUT": [ 8467 ], + "C0": [ 95 ], + "Z": [ 8472 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8469 ], + "D1": [ 8470 ], + "SD": [ 8276 ], + "Z": [ 8473 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8471 ], + "D1": [ 8472 ], + "SD": [ 8276 ], + "Z": [ 8474 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8473 ], + "D1": [ 8474 ], + "SD": [ 99 ], + "Z": [ 8434 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8475 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8476 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8475 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8477 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8475 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8478 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8475 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8479 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8475 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8480 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8475 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8481 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8475 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8475 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8483 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8477 ], + "BLUT": [ 8476 ], + "C0": [ 95 ], + "Z": [ 8484 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8479 ], + "BLUT": [ 8478 ], + "C0": [ 95 ], + "Z": [ 8485 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8481 ], + "BLUT": [ 8480 ], + "C0": [ 95 ], + "Z": [ 8486 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8483 ], + "BLUT": [ 8482 ], + "C0": [ 95 ], + "Z": [ 8487 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8484 ], + "D1": [ 8485 ], + "SD": [ 8313 ], + "Z": [ 8488 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8486 ], + "D1": [ 8487 ], + "SD": [ 8313 ], + "Z": [ 8489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8488 ], + "D1": [ 8489 ], + "SD": [ 99 ], + "Z": [ 8435 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8490 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8491 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8490 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8492 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8490 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8490 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8494 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8490 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8495 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8490 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8496 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8490 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8497 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8490 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8498 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8492 ], + "BLUT": [ 8491 ], + "C0": [ 95 ], + "Z": [ 8499 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8494 ], + "BLUT": [ 8493 ], + "C0": [ 95 ], + "Z": [ 8500 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8496 ], + "BLUT": [ 8495 ], + "C0": [ 95 ], + "Z": [ 8501 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8498 ], + "BLUT": [ 8497 ], + "C0": [ 95 ], + "Z": [ 8502 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8499 ], + "D1": [ 8500 ], + "SD": [ 8216 ], + "Z": [ 8503 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8501 ], + "D1": [ 8502 ], + "SD": [ 8216 ], + "Z": [ 8504 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8503 ], + "D1": [ 8504 ], + "SD": [ 99 ], + "Z": [ 8436 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8505 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8505 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8507 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8505 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8508 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8505 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8509 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8505 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8510 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8505 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8511 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8505 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8512 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8505 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8513 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8507 ], + "BLUT": [ 8506 ], + "C0": [ 95 ], + "Z": [ 8514 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8509 ], + "BLUT": [ 8508 ], + "C0": [ 95 ], + "Z": [ 8515 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8511 ], + "BLUT": [ 8510 ], + "C0": [ 95 ], + "Z": [ 8516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8513 ], + "BLUT": [ 8512 ], + "C0": [ 95 ], + "Z": [ 8517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8514 ], + "D1": [ 8515 ], + "SD": [ 8281 ], + "Z": [ 8518 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8516 ], + "D1": [ 8517 ], + "SD": [ 8281 ], + "Z": [ 8519 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8518 ], + "D1": [ 8519 ], + "SD": [ 99 ], + "Z": [ 8441 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8520 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8521 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8520 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8520 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8523 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8520 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8524 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8520 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8520 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8526 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8520 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8527 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8520 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8528 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8522 ], + "BLUT": [ 8521 ], + "C0": [ 95 ], + "Z": [ 8529 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8524 ], + "BLUT": [ 8523 ], + "C0": [ 95 ], + "Z": [ 8530 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8526 ], + "BLUT": [ 8525 ], + "C0": [ 95 ], + "Z": [ 8531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8528 ], + "BLUT": [ 8527 ], + "C0": [ 95 ], + "Z": [ 8532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8529 ], + "D1": [ 8530 ], + "SD": [ 8264 ], + "Z": [ 8533 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8531 ], + "D1": [ 8532 ], + "SD": [ 8264 ], + "Z": [ 8534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8533 ], + "D1": [ 8534 ], + "SD": [ 99 ], + "Z": [ 8444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40488.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8535 ], + "B": [ 8536 ], + "C": [ 8537 ], + "D": [ 8538 ], + "Z": [ 8539 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40488.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8535 ], + "B": [ 8536 ], + "C": [ 8537 ], + "D": [ 8538 ], + "Z": [ 8540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40488.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8540 ], + "BLUT": [ 8539 ], + "C0": [ 8541 ], + "Z": [ 65 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8542 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8543 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8542 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8544 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8542 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8542 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8546 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8542 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8547 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8542 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8542 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8542 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8550 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8544 ], + "BLUT": [ 8543 ], + "C0": [ 95 ], + "Z": [ 8551 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8546 ], + "BLUT": [ 8545 ], + "C0": [ 95 ], + "Z": [ 8552 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8548 ], + "BLUT": [ 8547 ], + "C0": [ 95 ], + "Z": [ 8553 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8550 ], + "BLUT": [ 8549 ], + "C0": [ 95 ], + "Z": [ 8554 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8551 ], + "D1": [ 8552 ], + "SD": [ 8555 ], + "Z": [ 8556 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8553 ], + "D1": [ 8554 ], + "SD": [ 8555 ], + "Z": [ 8557 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8556 ], + "D1": [ 8557 ], + "SD": [ 99 ], + "Z": [ 8535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40490.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8391 ], + "Z": [ 8558 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40490.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8376 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8391 ], + "Z": [ 8559 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40490.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8559 ], + "BLUT": [ 8558 ], + "C0": [ 118 ], + "Z": [ 8555 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8560 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8560 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8560 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8563 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8560 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8560 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8560 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8566 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8560 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8567 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8560 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8562 ], + "BLUT": [ 8561 ], + "C0": [ 95 ], + "Z": [ 8569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8564 ], + "BLUT": [ 8563 ], + "C0": [ 95 ], + "Z": [ 8570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8566 ], + "BLUT": [ 8565 ], + "C0": [ 95 ], + "Z": [ 8571 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8568 ], + "BLUT": [ 8567 ], + "C0": [ 95 ], + "Z": [ 8572 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8569 ], + "D1": [ 8570 ], + "SD": [ 8218 ], + "Z": [ 8573 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8571 ], + "D1": [ 8572 ], + "SD": [ 8218 ], + "Z": [ 8574 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8573 ], + "D1": [ 8574 ], + "SD": [ 99 ], + "Z": [ 8536 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8575 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8575 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8577 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8575 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8578 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8575 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8579 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8575 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8575 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8581 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8575 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8582 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8575 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8583 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8577 ], + "BLUT": [ 8576 ], + "C0": [ 95 ], + "Z": [ 8584 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8579 ], + "BLUT": [ 8578 ], + "C0": [ 95 ], + "Z": [ 8585 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8581 ], + "BLUT": [ 8580 ], + "C0": [ 95 ], + "Z": [ 8586 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8583 ], + "BLUT": [ 8582 ], + "C0": [ 95 ], + "Z": [ 8587 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8584 ], + "D1": [ 8585 ], + "SD": [ 8588 ], + "Z": [ 8589 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8586 ], + "D1": [ 8587 ], + "SD": [ 8588 ], + "Z": [ 8590 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8589 ], + "D1": [ 8590 ], + "SD": [ 99 ], + "Z": [ 8537 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40493.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8377 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8386 ], + "Z": [ 8591 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40493.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8377 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8386 ], + "Z": [ 8592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40493.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8592 ], + "BLUT": [ 8591 ], + "C0": [ 118 ], + "Z": [ 8588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 8593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 8594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 8595 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 8596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 8597 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 8598 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 8599 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 93 ], + "B": [ 94 ], + "C": [ 95 ], + "D": [ 96 ], + "Z": [ 8600 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8594 ], + "BLUT": [ 8593 ], + "C0": [ 108 ], + "Z": [ 8601 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8596 ], + "BLUT": [ 8595 ], + "C0": [ 108 ], + "Z": [ 8602 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8598 ], + "BLUT": [ 8597 ], + "C0": [ 108 ], + "Z": [ 8603 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8600 ], + "BLUT": [ 8599 ], + "C0": [ 108 ], + "Z": [ 8604 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8601 ], + "D1": [ 8602 ], + "SD": [ 99 ], + "Z": [ 8605 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8603 ], + "D1": [ 8604 ], + "SD": [ 99 ], + "Z": [ 8606 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8605 ], + "D1": [ 8606 ], + "SD": [ 8607 ], + "Z": [ 8538 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8608 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8608 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8610 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8608 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8611 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8608 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8612 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8608 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8613 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8608 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8614 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8608 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8615 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8608 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8610 ], + "BLUT": [ 8609 ], + "C0": [ 95 ], + "Z": [ 8617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8612 ], + "BLUT": [ 8611 ], + "C0": [ 95 ], + "Z": [ 8618 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8614 ], + "BLUT": [ 8613 ], + "C0": [ 95 ], + "Z": [ 8619 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8616 ], + "BLUT": [ 8615 ], + "C0": [ 95 ], + "Z": [ 8620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8617 ], + "D1": [ 8618 ], + "SD": [ 8266 ], + "Z": [ 8621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8619 ], + "D1": [ 8620 ], + "SD": [ 8266 ], + "Z": [ 8622 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8621 ], + "D1": [ 8622 ], + "SD": [ 99 ], + "Z": [ 8541 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8623 ], + "B": [ 8624 ], + "C": [ 8625 ], + "D": [ 8626 ], + "Z": [ 8627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8623 ], + "B": [ 8624 ], + "C": [ 8625 ], + "D": [ 8626 ], + "Z": [ 8628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8623 ], + "B": [ 8624 ], + "C": [ 8625 ], + "D": [ 8626 ], + "Z": [ 8629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8623 ], + "B": [ 8624 ], + "C": [ 8625 ], + "D": [ 8626 ], + "Z": [ 8630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8623 ], + "B": [ 8624 ], + "C": [ 8625 ], + "D": [ 8626 ], + "Z": [ 8631 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8623 ], + "B": [ 8624 ], + "C": [ 8625 ], + "D": [ 8626 ], + "Z": [ 8632 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8623 ], + "B": [ 8624 ], + "C": [ 8625 ], + "D": [ 8626 ], + "Z": [ 8633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8623 ], + "B": [ 8624 ], + "C": [ 8625 ], + "D": [ 8626 ], + "Z": [ 8634 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8628 ], + "BLUT": [ 8627 ], + "C0": [ 8635 ], + "Z": [ 8636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8630 ], + "BLUT": [ 8629 ], + "C0": [ 8635 ], + "Z": [ 8637 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8632 ], + "BLUT": [ 8631 ], + "C0": [ 8635 ], + "Z": [ 8638 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8634 ], + "BLUT": [ 8633 ], + "C0": [ 8635 ], + "Z": [ 8639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8636 ], + "D1": [ 8637 ], + "SD": [ 8640 ], + "Z": [ 8641 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8638 ], + "D1": [ 8639 ], + "SD": [ 8640 ], + "Z": [ 8642 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8641 ], + "D1": [ 8642 ], + "SD": [ 8643 ], + "Z": [ 66 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8644 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8645 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8644 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8646 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8644 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8647 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8644 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8648 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8644 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8649 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8644 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8650 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8644 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8651 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8644 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8652 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8646 ], + "BLUT": [ 8645 ], + "C0": [ 95 ], + "Z": [ 8653 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8648 ], + "BLUT": [ 8647 ], + "C0": [ 95 ], + "Z": [ 8654 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8650 ], + "BLUT": [ 8649 ], + "C0": [ 95 ], + "Z": [ 8655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8652 ], + "BLUT": [ 8651 ], + "C0": [ 95 ], + "Z": [ 8656 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8653 ], + "D1": [ 8654 ], + "SD": [ 102 ], + "Z": [ 8657 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8655 ], + "D1": [ 8656 ], + "SD": [ 102 ], + "Z": [ 8658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8657 ], + "D1": [ 8658 ], + "SD": [ 99 ], + "Z": [ 8623 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8659 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8659 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8661 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8659 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8662 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8659 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8659 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8664 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8659 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8665 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8659 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8666 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8659 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8667 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8661 ], + "BLUT": [ 8660 ], + "C0": [ 95 ], + "Z": [ 8668 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8663 ], + "BLUT": [ 8662 ], + "C0": [ 95 ], + "Z": [ 8669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8665 ], + "BLUT": [ 8664 ], + "C0": [ 95 ], + "Z": [ 8670 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8667 ], + "BLUT": [ 8666 ], + "C0": [ 95 ], + "Z": [ 8671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8668 ], + "D1": [ 8669 ], + "SD": [ 8672 ], + "Z": [ 8673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8670 ], + "D1": [ 8671 ], + "SD": [ 8672 ], + "Z": [ 8674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8673 ], + "D1": [ 8674 ], + "SD": [ 99 ], + "Z": [ 8624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40499.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8409 ], + "Z": [ 8675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40499.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8394 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8409 ], + "Z": [ 8676 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40499.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8676 ], + "BLUT": [ 8675 ], + "C0": [ 118 ], + "Z": [ 8672 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8677 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8677 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8679 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8677 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8680 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8677 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8681 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8677 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8677 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8677 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8677 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8685 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8679 ], + "BLUT": [ 8678 ], + "C0": [ 95 ], + "Z": [ 8686 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8681 ], + "BLUT": [ 8680 ], + "C0": [ 95 ], + "Z": [ 8687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8683 ], + "BLUT": [ 8682 ], + "C0": [ 95 ], + "Z": [ 8688 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8685 ], + "BLUT": [ 8684 ], + "C0": [ 95 ], + "Z": [ 8689 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8686 ], + "D1": [ 8687 ], + "SD": [ 8690 ], + "Z": [ 8691 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8688 ], + "D1": [ 8689 ], + "SD": [ 8690 ], + "Z": [ 8692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8691 ], + "D1": [ 8692 ], + "SD": [ 99 ], + "Z": [ 8625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40501.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8413 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8147 ], + "Z": [ 8693 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40501.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8413 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8147 ], + "Z": [ 8694 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40501.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8694 ], + "BLUT": [ 8693 ], + "C0": [ 118 ], + "Z": [ 8690 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8695 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8696 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8695 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8697 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8695 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8698 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8695 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8699 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8695 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8700 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8695 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8701 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8695 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8695 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8703 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8697 ], + "BLUT": [ 8696 ], + "C0": [ 95 ], + "Z": [ 8704 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8699 ], + "BLUT": [ 8698 ], + "C0": [ 95 ], + "Z": [ 8705 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8701 ], + "BLUT": [ 8700 ], + "C0": [ 95 ], + "Z": [ 8706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8703 ], + "BLUT": [ 8702 ], + "C0": [ 95 ], + "Z": [ 8707 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8704 ], + "D1": [ 8705 ], + "SD": [ 111 ], + "Z": [ 8708 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8706 ], + "D1": [ 8707 ], + "SD": [ 111 ], + "Z": [ 8709 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8708 ], + "D1": [ 8709 ], + "SD": [ 99 ], + "Z": [ 8626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8710 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8711 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8710 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8712 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8710 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8713 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8710 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8714 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8710 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8715 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8710 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8710 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8717 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8710 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8718 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8712 ], + "BLUT": [ 8711 ], + "C0": [ 95 ], + "Z": [ 8719 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8714 ], + "BLUT": [ 8713 ], + "C0": [ 95 ], + "Z": [ 8720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8716 ], + "BLUT": [ 8715 ], + "C0": [ 95 ], + "Z": [ 8721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8718 ], + "BLUT": [ 8717 ], + "C0": [ 95 ], + "Z": [ 8722 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8719 ], + "D1": [ 8720 ], + "SD": [ 101 ], + "Z": [ 8723 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8721 ], + "D1": [ 8722 ], + "SD": [ 101 ], + "Z": [ 8724 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8723 ], + "D1": [ 8724 ], + "SD": [ 99 ], + "Z": [ 8635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8725 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8726 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8725 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8725 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8728 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8725 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8729 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8725 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8730 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8725 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8731 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8725 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8732 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8725 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8733 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8727 ], + "BLUT": [ 8726 ], + "C0": [ 95 ], + "Z": [ 8734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8729 ], + "BLUT": [ 8728 ], + "C0": [ 95 ], + "Z": [ 8735 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8731 ], + "BLUT": [ 8730 ], + "C0": [ 95 ], + "Z": [ 8736 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8733 ], + "BLUT": [ 8732 ], + "C0": [ 95 ], + "Z": [ 8737 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8734 ], + "D1": [ 8735 ], + "SD": [ 8228 ], + "Z": [ 8738 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8736 ], + "D1": [ 8737 ], + "SD": [ 8228 ], + "Z": [ 8739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8738 ], + "D1": [ 8739 ], + "SD": [ 99 ], + "Z": [ 8643 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8740 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8741 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8740 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8742 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8740 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8743 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8740 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8744 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8740 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8740 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8746 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8740 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8740 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8748 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8742 ], + "BLUT": [ 8741 ], + "C0": [ 95 ], + "Z": [ 8749 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8744 ], + "BLUT": [ 8743 ], + "C0": [ 95 ], + "Z": [ 8750 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8746 ], + "BLUT": [ 8745 ], + "C0": [ 95 ], + "Z": [ 8751 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8748 ], + "BLUT": [ 8747 ], + "C0": [ 95 ], + "Z": [ 8752 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8749 ], + "D1": [ 8750 ], + "SD": [ 8267 ], + "Z": [ 8753 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8751 ], + "D1": [ 8752 ], + "SD": [ 8267 ], + "Z": [ 8754 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8753 ], + "D1": [ 8754 ], + "SD": [ 99 ], + "Z": [ 8640 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8755 ], + "B": [ 8756 ], + "C": [ 8757 ], + "D": [ 8758 ], + "Z": [ 8759 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8755 ], + "B": [ 8756 ], + "C": [ 8757 ], + "D": [ 8758 ], + "Z": [ 8760 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8755 ], + "B": [ 8756 ], + "C": [ 8757 ], + "D": [ 8758 ], + "Z": [ 8761 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8755 ], + "B": [ 8756 ], + "C": [ 8757 ], + "D": [ 8758 ], + "Z": [ 8762 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8760 ], + "BLUT": [ 8759 ], + "C0": [ 8763 ], + "Z": [ 8764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8762 ], + "BLUT": [ 8761 ], + "C0": [ 8763 ], + "Z": [ 8765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8764 ], + "D1": [ 8765 ], + "SD": [ 8766 ], + "Z": [ 67 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8767 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8768 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8767 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8769 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8767 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8770 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8767 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8767 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8772 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8767 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8773 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8767 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8774 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8767 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8775 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8769 ], + "BLUT": [ 8768 ], + "C0": [ 95 ], + "Z": [ 8776 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8771 ], + "BLUT": [ 8770 ], + "C0": [ 95 ], + "Z": [ 8777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8773 ], + "BLUT": [ 8772 ], + "C0": [ 95 ], + "Z": [ 8778 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8775 ], + "BLUT": [ 8774 ], + "C0": [ 95 ], + "Z": [ 8779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8776 ], + "D1": [ 8777 ], + "SD": [ 8312 ], + "Z": [ 8780 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8778 ], + "D1": [ 8779 ], + "SD": [ 8312 ], + "Z": [ 8781 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8780 ], + "D1": [ 8781 ], + "SD": [ 99 ], + "Z": [ 8755 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8782 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8783 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8782 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8784 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8782 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8782 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8786 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8782 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8787 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8782 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8788 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8782 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8789 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8782 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8790 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8784 ], + "BLUT": [ 8783 ], + "C0": [ 95 ], + "Z": [ 8791 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8786 ], + "BLUT": [ 8785 ], + "C0": [ 95 ], + "Z": [ 8792 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8788 ], + "BLUT": [ 8787 ], + "C0": [ 95 ], + "Z": [ 8793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8790 ], + "BLUT": [ 8789 ], + "C0": [ 95 ], + "Z": [ 8794 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8791 ], + "D1": [ 8792 ], + "SD": [ 8219 ], + "Z": [ 8795 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8793 ], + "D1": [ 8794 ], + "SD": [ 8219 ], + "Z": [ 8796 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8795 ], + "D1": [ 8796 ], + "SD": [ 99 ], + "Z": [ 8758 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8797 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8798 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8797 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8799 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8797 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8800 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8797 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8801 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8797 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8802 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8797 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8803 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8797 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8804 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8797 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8805 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8799 ], + "BLUT": [ 8798 ], + "C0": [ 95 ], + "Z": [ 8806 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8801 ], + "BLUT": [ 8800 ], + "C0": [ 95 ], + "Z": [ 8807 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8803 ], + "BLUT": [ 8802 ], + "C0": [ 95 ], + "Z": [ 8808 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8805 ], + "BLUT": [ 8804 ], + "C0": [ 95 ], + "Z": [ 8809 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8806 ], + "D1": [ 8807 ], + "SD": [ 8233 ], + "Z": [ 8810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8808 ], + "D1": [ 8809 ], + "SD": [ 8233 ], + "Z": [ 8811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8810 ], + "D1": [ 8811 ], + "SD": [ 99 ], + "Z": [ 8763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8812 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8813 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8812 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8814 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8812 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8812 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8816 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8812 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8812 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8818 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8812 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8819 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8812 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8820 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8814 ], + "BLUT": [ 8813 ], + "C0": [ 95 ], + "Z": [ 8821 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8816 ], + "BLUT": [ 8815 ], + "C0": [ 95 ], + "Z": [ 8822 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8818 ], + "BLUT": [ 8817 ], + "C0": [ 95 ], + "Z": [ 8823 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8820 ], + "BLUT": [ 8819 ], + "C0": [ 95 ], + "Z": [ 8824 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8821 ], + "D1": [ 8822 ], + "SD": [ 100 ], + "Z": [ 8825 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8823 ], + "D1": [ 8824 ], + "SD": [ 100 ], + "Z": [ 8826 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8825 ], + "D1": [ 8826 ], + "SD": [ 99 ], + "Z": [ 8756 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8827 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8828 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8827 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8829 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8827 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8830 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8827 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8831 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8827 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8832 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8827 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8833 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8827 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8834 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8827 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8835 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8829 ], + "BLUT": [ 8828 ], + "C0": [ 95 ], + "Z": [ 8836 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8831 ], + "BLUT": [ 8830 ], + "C0": [ 95 ], + "Z": [ 8837 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8833 ], + "BLUT": [ 8832 ], + "C0": [ 95 ], + "Z": [ 8838 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8835 ], + "BLUT": [ 8834 ], + "C0": [ 95 ], + "Z": [ 8839 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8836 ], + "D1": [ 8837 ], + "SD": [ 8217 ], + "Z": [ 8840 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8838 ], + "D1": [ 8839 ], + "SD": [ 8217 ], + "Z": [ 8841 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8840 ], + "D1": [ 8841 ], + "SD": [ 99 ], + "Z": [ 8757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8842 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8843 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8842 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8844 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8842 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8845 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8842 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8842 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8847 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8842 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8848 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8842 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8849 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8842 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8850 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8844 ], + "BLUT": [ 8843 ], + "C0": [ 95 ], + "Z": [ 8851 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8846 ], + "BLUT": [ 8845 ], + "C0": [ 95 ], + "Z": [ 8852 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8848 ], + "BLUT": [ 8847 ], + "C0": [ 95 ], + "Z": [ 8853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8850 ], + "BLUT": [ 8849 ], + "C0": [ 95 ], + "Z": [ 8854 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8851 ], + "D1": [ 8852 ], + "SD": [ 8236 ], + "Z": [ 8855 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8853 ], + "D1": [ 8854 ], + "SD": [ 8236 ], + "Z": [ 8856 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8855 ], + "D1": [ 8856 ], + "SD": [ 99 ], + "Z": [ 8766 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8857 ], + "B": [ 8858 ], + "C": [ 8859 ], + "D": [ 8860 ], + "Z": [ 8861 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8857 ], + "B": [ 8858 ], + "C": [ 8859 ], + "D": [ 8860 ], + "Z": [ 8862 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8857 ], + "B": [ 8858 ], + "C": [ 8859 ], + "D": [ 8860 ], + "Z": [ 8863 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8857 ], + "B": [ 8858 ], + "C": [ 8859 ], + "D": [ 8860 ], + "Z": [ 8864 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8862 ], + "BLUT": [ 8861 ], + "C0": [ 8865 ], + "Z": [ 8866 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8864 ], + "BLUT": [ 8863 ], + "C0": [ 8865 ], + "Z": [ 8867 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8866 ], + "D1": [ 8867 ], + "SD": [ 8868 ], + "Z": [ 76 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8869 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8869 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8871 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8869 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8872 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8869 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8873 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8869 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8869 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8875 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8869 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8876 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8869 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8871 ], + "BLUT": [ 8870 ], + "C0": [ 95 ], + "Z": [ 8878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8873 ], + "BLUT": [ 8872 ], + "C0": [ 95 ], + "Z": [ 8879 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8875 ], + "BLUT": [ 8874 ], + "C0": [ 95 ], + "Z": [ 8880 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8877 ], + "BLUT": [ 8876 ], + "C0": [ 95 ], + "Z": [ 8881 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8878 ], + "D1": [ 8879 ], + "SD": [ 8882 ], + "Z": [ 8883 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8880 ], + "D1": [ 8881 ], + "SD": [ 8882 ], + "Z": [ 8884 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8883 ], + "D1": [ 8884 ], + "SD": [ 99 ], + "Z": [ 8857 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40515.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8035 ], + "Z": [ 8885 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40515.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8359 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8035 ], + "Z": [ 8886 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40515.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8886 ], + "BLUT": [ 8885 ], + "C0": [ 118 ], + "Z": [ 8882 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8887 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8888 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8887 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8889 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8887 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8890 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8887 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8891 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8887 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8887 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8887 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8894 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8887 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8895 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8889 ], + "BLUT": [ 8888 ], + "C0": [ 95 ], + "Z": [ 8896 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8891 ], + "BLUT": [ 8890 ], + "C0": [ 95 ], + "Z": [ 8897 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8893 ], + "BLUT": [ 8892 ], + "C0": [ 95 ], + "Z": [ 8898 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8895 ], + "BLUT": [ 8894 ], + "C0": [ 95 ], + "Z": [ 8899 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8896 ], + "D1": [ 8897 ], + "SD": [ 8284 ], + "Z": [ 8900 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8898 ], + "D1": [ 8899 ], + "SD": [ 8284 ], + "Z": [ 8901 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8900 ], + "D1": [ 8901 ], + "SD": [ 99 ], + "Z": [ 8858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8902 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8903 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8902 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8904 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8902 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8905 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8902 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8906 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8902 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8907 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8902 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8908 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8902 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8909 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8902 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8910 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8904 ], + "BLUT": [ 8903 ], + "C0": [ 95 ], + "Z": [ 8911 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8906 ], + "BLUT": [ 8905 ], + "C0": [ 95 ], + "Z": [ 8912 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8908 ], + "BLUT": [ 8907 ], + "C0": [ 95 ], + "Z": [ 8913 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8910 ], + "BLUT": [ 8909 ], + "C0": [ 95 ], + "Z": [ 8914 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8911 ], + "D1": [ 8912 ], + "SD": [ 8915 ], + "Z": [ 8916 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8913 ], + "D1": [ 8914 ], + "SD": [ 8915 ], + "Z": [ 8917 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8916 ], + "D1": [ 8917 ], + "SD": [ 99 ], + "Z": [ 8859 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40518.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8360 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8369 ], + "Z": [ 8918 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40518.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8360 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8369 ], + "Z": [ 8919 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40518.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8919 ], + "BLUT": [ 8918 ], + "C0": [ 118 ], + "Z": [ 8915 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8920 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8921 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8920 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8922 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8920 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8923 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8920 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8924 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8920 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8925 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8920 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8926 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8920 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8927 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8920 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8928 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8922 ], + "BLUT": [ 8921 ], + "C0": [ 95 ], + "Z": [ 8929 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8924 ], + "BLUT": [ 8923 ], + "C0": [ 95 ], + "Z": [ 8930 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8926 ], + "BLUT": [ 8925 ], + "C0": [ 95 ], + "Z": [ 8931 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8928 ], + "BLUT": [ 8927 ], + "C0": [ 95 ], + "Z": [ 8932 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8929 ], + "D1": [ 8930 ], + "SD": [ 8265 ], + "Z": [ 8933 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8931 ], + "D1": [ 8932 ], + "SD": [ 8265 ], + "Z": [ 8934 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8933 ], + "D1": [ 8934 ], + "SD": [ 99 ], + "Z": [ 8860 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8935 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8936 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8935 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8937 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8935 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8938 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8935 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8939 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8935 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8940 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8935 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8941 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8935 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8942 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8935 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8943 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8937 ], + "BLUT": [ 8936 ], + "C0": [ 95 ], + "Z": [ 8944 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8939 ], + "BLUT": [ 8938 ], + "C0": [ 95 ], + "Z": [ 8945 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8941 ], + "BLUT": [ 8940 ], + "C0": [ 95 ], + "Z": [ 8946 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8943 ], + "BLUT": [ 8942 ], + "C0": [ 95 ], + "Z": [ 8947 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8944 ], + "D1": [ 8945 ], + "SD": [ 8948 ], + "Z": [ 8949 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8946 ], + "D1": [ 8947 ], + "SD": [ 8948 ], + "Z": [ 8950 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8949 ], + "D1": [ 8950 ], + "SD": [ 99 ], + "Z": [ 8865 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40521.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8347 ], + "Z": [ 8951 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40521.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8337 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8347 ], + "Z": [ 8952 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40521.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8952 ], + "BLUT": [ 8951 ], + "C0": [ 118 ], + "Z": [ 8948 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:102" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8953 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8954 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:104" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8953 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8955 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65535 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:107" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8953 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8956 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49151 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8953 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8957 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.lut4": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:112" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8953 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8958 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.lut5": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8953 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8959 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.lut6": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:117" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8953 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8960 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.lut7": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:119" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8953 ], + "B": [ 96 ], + "C": [ 93 ], + "D": [ 94 ], + "Z": [ 8961 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8955 ], + "BLUT": [ 8954 ], + "C0": [ 95 ], + "Z": [ 8962 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:123" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8957 ], + "BLUT": [ 8956 ], + "C0": [ 95 ], + "Z": [ 8963 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.mux52": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:124" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8959 ], + "BLUT": [ 8958 ], + "C0": [ 95 ], + "Z": [ 8964 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.mux53": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8961 ], + "BLUT": [ 8960 ], + "C0": [ 95 ], + "Z": [ 8965 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.mux60": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8962 ], + "D1": [ 8963 ], + "SD": [ 8966 ], + "Z": [ 8967 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.mux61": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:127" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8964 ], + "D1": [ 8965 ], + "SD": [ 8966 ], + "Z": [ 8968 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.mux7": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:128" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 8967 ], + "D1": [ 8968 ], + "SD": [ 99 ], + "Z": [ 8868 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40523.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 49024 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8338 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8352 ], + "Z": [ 8969 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40523.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 43690 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8338 ], + "B": [ 113 ], + "C": [ 114 ], + "D": [ 8352 ], + "Z": [ 8970 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40523.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8970 ], + "BLUT": [ 8969 ], + "C0": [ 118 ], + "Z": [ 8966 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40527.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 8971 ], + "C": [ 20 ], + "D": [ 8972 ], + "Z": [ 8973 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40527.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 8971 ], + "C": [ 20 ], + "D": [ 8972 ], + "Z": [ 8974 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40527.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8974 ], + "BLUT": [ 8973 ], + "C0": [ 8975 ], + "Z": [ 8976 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40528": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8977 ], + "B": [ 47 ], + "C": [ 8978 ], + "D": [ 8979 ], + "Z": [ 8972 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40529": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8980 ], + "B": [ 49 ], + "C": [ 8981 ], + "D": [ 8982 ], + "Z": [ 8978 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40530": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8983 ], + "B": [ 37 ], + "C": [ 39 ], + "D": [ 8984 ], + "Z": [ 8981 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40531.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8985 ], + "B": [ 45 ], + "C": [ 8986 ], + "D": [ 33 ], + "Z": [ 8987 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40531.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8985 ], + "B": [ 45 ], + "C": [ 8986 ], + "D": [ 33 ], + "Z": [ 8988 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40531.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 8988 ], + "BLUT": [ 8987 ], + "C0": [ 18 ], + "Z": [ 8982 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40532": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8989 ], + "B": [ 31 ], + "C": [ 27 ], + "D": [ 8990 ], + "Z": [ 8979 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40533": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8991 ], + "B": [ 58 ], + "C": [ 8992 ], + "D": [ 60 ], + "Z": [ 8975 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40534": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 8993 ], + "C": [ 8994 ], + "D": [ 63 ], + "Z": [ 8971 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40535": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1052 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 8995 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40536": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1053 ], + "B": [ 8995 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 8996 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40537": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1201 ], + "B": [ 1202 ], + "C": [ 970 ], + "D": [ "0" ], + "Z": [ 8997 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40538": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1109 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 8998 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40539": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1091 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 8999 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40540": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1183 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9000 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40541": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1295 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9001 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40542": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1286 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9002 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40543": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1174 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9003 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40544": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1070 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9004 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40545": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1043 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9005 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40546": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1136 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9006 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40547": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1100 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9007 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40548": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1329 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9008 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40549": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1338 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9009 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40550": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9010 ], + "B": [ 9011 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9012 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40551": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1120 ], + "B": [ 9013 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9014 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40552": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1118 ], + "B": [ 1119 ], + "C": [ 970 ], + "D": [ "0" ], + "Z": [ 9013 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40553": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1239 ], + "B": [ 9015 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9016 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40554": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1237 ], + "B": [ 1238 ], + "C": [ 970 ], + "D": [ "0" ], + "Z": [ 9015 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40555": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1269 ], + "B": [ 9017 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9018 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40556": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1268 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9017 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40557": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 972 ], + "B": [ 9019 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9020 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40558": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 971 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9019 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40559": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1184 ], + "B": [ 9000 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9021 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40560": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1296 ], + "B": [ 9001 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9022 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40561": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1287 ], + "B": [ 9002 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9023 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40562": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1175 ], + "B": [ 9003 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9024 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40563": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1071 ], + "B": [ 9004 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9025 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40564": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1044 ], + "B": [ 9005 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9026 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40565": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1137 ], + "B": [ 9006 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9027 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40566": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1101 ], + "B": [ 9007 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9028 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40567": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1330 ], + "B": [ 9008 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9029 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40568": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1339 ], + "B": [ 9009 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9030 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40569": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 970 ], + "D": [ "0" ], + "Z": [ 9031 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40570": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1002 ], + "B": [ 9031 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9032 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40571": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1110 ], + "B": [ 8998 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9033 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40572": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9034 ], + "B": [ 18 ], + "C": [ 49 ], + "D": [ 4 ], + "Z": [ 9035 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40573": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 52 ], + "B": [ 55 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9034 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40574": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9036 ], + "D": [ "0" ], + "Z": [ 9037 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40575": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9036 ], + "D": [ "0" ], + "Z": [ 9038 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40576": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9034 ], + "B": [ 18 ], + "C": [ 33 ], + "D": [ 4 ], + "Z": [ 9039 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40577": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65296 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9040 ], + "B": [ 4 ], + "C": [ 9041 ], + "D": [ 9042 ], + "Z": [ 9043 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40578.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9044 ], + "B": [ 9045 ], + "C": [ 9046 ], + "D": [ 9047 ], + "Z": [ 9048 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40578.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 20292 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9044 ], + "B": [ 9045 ], + "C": [ 9046 ], + "D": [ 9047 ], + "Z": [ 9049 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40578.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9049 ], + "BLUT": [ 9048 ], + "C0": [ 9050 ], + "Z": [ 9042 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40579": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3003 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9051 ], + "B": [ 9052 ], + "C": [ 9053 ], + "D": [ 9054 ], + "Z": [ 9046 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40580": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9045 ], + "B": [ 9055 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9053 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40581": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9056 ], + "B": [ 9052 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9045 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40582": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 9057 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9056 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40583": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9058 ], + "B": [ 9059 ], + "C": [ 9060 ], + "D": [ 9061 ], + "Z": [ 9057 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40584": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 31 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9062 ], + "B": [ 9063 ], + "C": [ 9064 ], + "D": [ "0" ], + "Z": [ 9052 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40585": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 53 ], + "B": [ 9044 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9055 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40586": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9065 ], + "B": [ 9066 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9044 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40587": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9067 ], + "B": [ 9068 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9051 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40588": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 51 ], + "B": [ 52 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9067 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40589": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 63 ], + "B": [ 9069 ], + "C": [ 9070 ], + "D": [ 9071 ], + "Z": [ 9068 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40590": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9072 ], + "B": [ 9073 ], + "C": [ 9074 ], + "D": [ "0" ], + "Z": [ 9054 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40591": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9075 ], + "B": [ 9076 ], + "C": [ 9077 ], + "D": [ "0" ], + "Z": [ 9047 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40592": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9078 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9076 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40593": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9075 ], + "B": [ 9079 ], + "C": [ 9054 ], + "D": [ 9053 ], + "Z": [ 9041 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40594": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9080 ], + "B": [ 9064 ], + "C": [ 9076 ], + "D": [ "0" ], + "Z": [ 9079 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40595": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9050 ], + "B": [ 9077 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9040 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40596": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9081 ], + "D": [ "0" ], + "Z": [ 9082 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40597": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 9083 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40598": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9084 ], + "D": [ "0" ], + "Z": [ 9085 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40599": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9086 ], + "D": [ "0" ], + "Z": [ 9087 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40600": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9088 ], + "D": [ "0" ], + "Z": [ 9089 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40601": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 9090 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40602": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9084 ], + "D": [ "0" ], + "Z": [ 9091 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40603": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9086 ], + "D": [ "0" ], + "Z": [ 9092 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40604": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9093 ], + "D": [ "0" ], + "Z": [ 9094 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40605": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9081 ], + "D": [ "0" ], + "Z": [ 9095 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40606": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1062 ], + "B": [ 9096 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9097 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40607": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1061 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9096 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40608": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1192 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9098 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40609": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1193 ], + "B": [ 9098 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9099 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40610": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9034 ], + "B": [ 18 ], + "C": [ 27 ], + "D": [ 4 ], + "Z": [ 9100 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40611": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9101 ], + "D": [ "0" ], + "Z": [ 9102 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40612": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36744 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9103 ], + "B": [ 1118 ], + "C": [ 9104 ], + "D": [ 9105 ], + "Z": [ 9106 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3935 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9107 ], + "B": [ 8763 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9110 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3903 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9107 ], + "B": [ 8763 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9111 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9107 ], + "B": [ 8763 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9112 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9107 ], + "B": [ 8763 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9113 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9111 ], + "BLUT": [ 9110 ], + "C0": [ 85 ], + "Z": [ 9114 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9113 ], + "BLUT": [ 9112 ], + "C0": [ 85 ], + "Z": [ 9115 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 9114 ], + "D1": [ 9115 ], + "SD": [ 9116 ], + "Z": [ 9104 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40614.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9117 ], + "B": [ 9118 ], + "C": [ 9119 ], + "D": [ 9120 ], + "Z": [ 9121 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40614.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36873 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9117 ], + "B": [ 9118 ], + "C": [ 9119 ], + "D": [ 9120 ], + "Z": [ 9122 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40614.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9122 ], + "BLUT": [ 9121 ], + "C0": [ 9123 ], + "Z": [ 9109 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40615": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36873 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9124 ], + "B": [ 9125 ], + "C": [ 9126 ], + "D": [ 9127 ], + "Z": [ 9123 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40616": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9108 ], + "B": [ 9128 ], + "C": [ 9119 ], + "D": [ "0" ], + "Z": [ 9116 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40617": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9050 ], + "B": [ 9074 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9108 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40618": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9072 ], + "B": [ 9050 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9128 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40619": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9119 ], + "B": [ 9109 ], + "C": [ 9129 ], + "D": [ "0" ], + "Z": [ 9105 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40620": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 778 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9064 ], + "B": [ 9109 ], + "C": [ 51 ], + "D": [ 9050 ], + "Z": [ 9129 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40621": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 51 ], + "B": [ 9109 ], + "C": [ 9050 ], + "D": [ "0" ], + "Z": [ 9103 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40622": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 13 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9064 ], + "B": [ 54 ], + "C": [ 9130 ], + "D": [ "0" ], + "Z": [ 9131 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40623": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 773 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9120 ], + "B": [ 9132 ], + "C": [ 9133 ], + "D": [ 9128 ], + "Z": [ 9130 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40624": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9064 ], + "B": [ 53 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9133 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40625": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1203 ], + "B": [ 8997 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9134 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40626": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9081 ], + "D": [ "0" ], + "Z": [ 9135 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40627": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 9136 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40628": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9084 ], + "D": [ "0" ], + "Z": [ 9137 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40629.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9138 ], + "C": [ 20 ], + "D": [ 9139 ], + "Z": [ 9140 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40629.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9138 ], + "C": [ 20 ], + "D": [ 9139 ], + "Z": [ 9141 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40629.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9141 ], + "BLUT": [ 9140 ], + "C0": [ 9142 ], + "Z": [ 9143 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40630": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9144 ], + "B": [ 45 ], + "C": [ 9145 ], + "D": [ 9146 ], + "Z": [ 9139 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40631.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9147 ], + "B": [ 47 ], + "C": [ 9148 ], + "D": [ 33 ], + "Z": [ 9149 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40631.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9147 ], + "B": [ 47 ], + "C": [ 9148 ], + "D": [ 33 ], + "Z": [ 9150 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40631.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9150 ], + "BLUT": [ 9149 ], + "C0": [ 9151 ], + "Z": [ 9145 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40632": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9152 ], + "C": [ 27 ], + "D": [ 9153 ], + "Z": [ 9151 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40633": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9154 ], + "B": [ 37 ], + "C": [ 18 ], + "D": [ 9155 ], + "Z": [ 9146 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40634": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9156 ], + "B": [ 49 ], + "C": [ 39 ], + "D": [ 9157 ], + "Z": [ 9155 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40635": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9158 ], + "B": [ 58 ], + "C": [ 9159 ], + "D": [ 60 ], + "Z": [ 9142 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40636": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9101 ], + "C": [ 9160 ], + "D": [ 63 ], + "Z": [ 9138 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40637.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9161 ], + "C": [ 20 ], + "D": [ 9162 ], + "Z": [ 9163 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40637.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9161 ], + "C": [ 20 ], + "D": [ 9162 ], + "Z": [ 9164 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40637.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9164 ], + "BLUT": [ 9163 ], + "C0": [ 9165 ], + "Z": [ 9166 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40638": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9167 ], + "B": [ 37 ], + "C": [ 9168 ], + "D": [ 9169 ], + "Z": [ 9162 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40639.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9170 ], + "B": [ 47 ], + "C": [ 9171 ], + "D": [ 33 ], + "Z": [ 9172 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40639.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9170 ], + "B": [ 47 ], + "C": [ 9171 ], + "D": [ 33 ], + "Z": [ 9173 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40639.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9173 ], + "BLUT": [ 9172 ], + "C0": [ 9174 ], + "Z": [ 9168 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40640": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9175 ], + "B": [ 45 ], + "C": [ 27 ], + "D": [ 9176 ], + "Z": [ 9174 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40641": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9177 ], + "B": [ 49 ], + "C": [ 18 ], + "D": [ 9178 ], + "Z": [ 9169 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40642": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9179 ], + "B": [ 31 ], + "C": [ 39 ], + "D": [ 9180 ], + "Z": [ 9178 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40643": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9181 ], + "B": [ 58 ], + "C": [ 9182 ], + "D": [ 60 ], + "Z": [ 9165 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40644": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9084 ], + "C": [ 9183 ], + "D": [ 63 ], + "Z": [ 9161 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40645": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 224 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9064 ], + "B": [ 9050 ], + "C": [ 53 ], + "D": [ "0" ], + "Z": [ 9184 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40646": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9185 ], + "D": [ "0" ], + "Z": [ 9186 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40647": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 9187 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40648": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9034 ], + "B": [ 18 ], + "C": [ 47 ], + "D": [ 4 ], + "Z": [ 9188 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40649": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9034 ], + "B": [ 18 ], + "C": [ 39 ], + "D": [ 4 ], + "Z": [ 9189 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40650": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9191 ], + "C": [ 9192 ], + "D": [ 9193 ], + "Z": [ 9194 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40651": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 8992 ], + "B": [ 8993 ], + "C": [ 9195 ], + "D": [ 9133 ], + "Z": [ 9193 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40652": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9064 ], + "B": [ 9191 ], + "C": [ 54 ], + "D": [ 9050 ], + "Z": [ 9195 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40653": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9117 ], + "B": [ 9119 ], + "C": [ 9126 ], + "D": [ 9125 ], + "Z": [ 9191 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40654": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 9050 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9190 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40655": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 8993 ], + "D": [ "0" ], + "Z": [ 9196 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40656": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9084 ], + "D": [ "0" ], + "Z": [ 9197 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40657": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9088 ], + "D": [ "0" ], + "Z": [ 9198 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40658": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32767 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9199 ], + "B": [ 9200 ], + "C": [ 9201 ], + "D": [ 9202 ], + "Z": [ 9203 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40659": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9204 ], + "B": [ 9205 ], + "C": [ 9206 ], + "D": [ 9207 ], + "Z": [ 9199 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40660": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9208 ], + "B": [ 9209 ], + "C": [ 9210 ], + "D": [ 9211 ], + "Z": [ 9200 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40661": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9212 ], + "B": [ 9213 ], + "C": [ 9214 ], + "D": [ 9215 ], + "Z": [ 9201 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40662": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9216 ], + "B": [ 9217 ], + "C": [ 9218 ], + "D": [ 9219 ], + "Z": [ 9202 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40663": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9011 ], + "B": [ 9010 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9220 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40664.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32896 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9040 ], + "B": [ 9221 ], + "C": [ 9052 ], + "D": [ 9072 ], + "Z": [ 9222 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40664.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9040 ], + "B": [ 9221 ], + "C": [ 9052 ], + "D": [ 9072 ], + "Z": [ 9223 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40664.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9223 ], + "BLUT": [ 9222 ], + "C0": [ 9224 ], + "Z": [ 9225 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40665": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9050 ], + "B": [ 9226 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9224 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40666": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9052 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9226 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40667": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9227 ], + "B": [ 9192 ], + "C": [ 9132 ], + "D": [ 9228 ], + "Z": [ 9221 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40668": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 86 ], + "B": [ 88 ], + "C": [ 92 ], + "D": [ "0" ], + "Z": [ 9229 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40669": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 52 ], + "B": [ 9230 ], + "C": [ 55 ], + "D": [ 9231 ], + "Z": [ 9232 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40670": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9233 ], + "B": [ 9066 ], + "C": [ 9132 ], + "D": [ 9228 ], + "Z": [ 9231 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40671": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9234 ], + "B": [ 9227 ], + "C": [ 9192 ], + "D": [ "0" ], + "Z": [ 9233 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40672": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9052 ], + "B": [ 9050 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9234 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40673": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 176 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9235 ], + "B": [ 9040 ], + "C": [ 9226 ], + "D": [ "0" ], + "Z": [ 9230 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40674": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 60156 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9227 ], + "B": [ 9192 ], + "C": [ 9228 ], + "D": [ 9132 ], + "Z": [ 9235 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40675.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9236 ], + "C": [ 20 ], + "D": [ 9237 ], + "Z": [ 9238 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40675.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9236 ], + "C": [ 20 ], + "D": [ 9237 ], + "Z": [ 9239 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40675.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9239 ], + "BLUT": [ 9238 ], + "C0": [ 9240 ], + "Z": [ 9241 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40676": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9242 ], + "B": [ 37 ], + "C": [ 9243 ], + "D": [ 9244 ], + "Z": [ 9237 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40677.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9245 ], + "B": [ 27 ], + "C": [ 9246 ], + "D": [ 18 ], + "Z": [ 9247 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40677.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9245 ], + "B": [ 27 ], + "C": [ 9246 ], + "D": [ 18 ], + "Z": [ 9248 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40677.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9248 ], + "BLUT": [ 9247 ], + "C0": [ 9249 ], + "Z": [ 9243 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40678": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9250 ], + "C": [ 39 ], + "D": [ 9251 ], + "Z": [ 9246 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40679": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9252 ], + "C": [ 33 ], + "D": [ 9253 ], + "Z": [ 9249 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40680": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9254 ], + "C": [ 49 ], + "D": [ 9255 ], + "Z": [ 9244 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40681": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9256 ], + "B": [ 58 ], + "C": [ 9257 ], + "D": [ 60 ], + "Z": [ 9240 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40682": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9258 ], + "C": [ 9259 ], + "D": [ 63 ], + "Z": [ 9236 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40683": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9220 ], + "B": [ 9260 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9261 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40684": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36744 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9103 ], + "B": [ 1000 ], + "C": [ 9262 ], + "D": [ 9263 ], + "Z": [ 9264 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3935 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9265 ], + "B": [ 8643 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9266 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3903 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9265 ], + "B": [ 8643 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9267 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9265 ], + "B": [ 8643 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9268 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9265 ], + "B": [ 8643 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9269 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9267 ], + "BLUT": [ 9266 ], + "C0": [ 85 ], + "Z": [ 9270 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9269 ], + "BLUT": [ 9268 ], + "C0": [ 85 ], + "Z": [ 9271 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 9270 ], + "D1": [ 9271 ], + "SD": [ 9272 ], + "Z": [ 9262 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40686": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9108 ], + "B": [ 9128 ], + "C": [ 9125 ], + "D": [ "0" ], + "Z": [ 9272 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40687": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9125 ], + "B": [ 9109 ], + "C": [ 9129 ], + "D": [ "0" ], + "Z": [ 9263 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40688": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9185 ], + "D": [ "0" ], + "Z": [ 9273 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40689": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9185 ], + "D": [ "0" ], + "Z": [ 9274 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40690": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9275 ], + "D": [ "0" ], + "Z": [ 9276 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40691": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9093 ], + "D": [ "0" ], + "Z": [ 9277 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40692": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9086 ], + "D": [ "0" ], + "Z": [ 9278 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40693": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9258 ], + "D": [ "0" ], + "Z": [ 9279 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40694": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 8993 ], + "D": [ "0" ], + "Z": [ 9280 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40695": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9101 ], + "D": [ "0" ], + "Z": [ 9281 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40696": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9282 ], + "D": [ "0" ], + "Z": [ 9283 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40697": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9275 ], + "D": [ "0" ], + "Z": [ 9284 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40698": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9285 ], + "D": [ "0" ], + "Z": [ 9286 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40699": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9093 ], + "D": [ "0" ], + "Z": [ 9287 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40700": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9288 ], + "D": [ "0" ], + "Z": [ 9289 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40701": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9258 ], + "D": [ "0" ], + "Z": [ 9290 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40702": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9081 ], + "D": [ "0" ], + "Z": [ 9291 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40703": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9282 ], + "D": [ "0" ], + "Z": [ 9292 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40704": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9275 ], + "D": [ "0" ], + "Z": [ 9293 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40705": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9285 ], + "D": [ "0" ], + "Z": [ 9294 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40706": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9093 ], + "D": [ "0" ], + "Z": [ 9295 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40707": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9288 ], + "D": [ "0" ], + "Z": [ 9296 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40708": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9258 ], + "D": [ "0" ], + "Z": [ 9297 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40709": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 8993 ], + "D": [ "0" ], + "Z": [ 9298 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40710": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 983 ], + "B": [ 9299 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9300 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40711": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 982 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9299 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40712": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1146 ], + "B": [ 9301 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9302 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40713": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1145 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9301 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40714": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1312 ], + "B": [ 9303 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9304 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40715": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1311 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9303 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40716": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 44236 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9305 ], + "B": [ 9306 ], + "C": [ 9064 ], + "D": [ 55 ], + "Z": [ 9307 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40717": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61678 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 42 ], + "B": [ 4 ], + "C": [ 9308 ], + "D": [ 18 ], + "Z": [ 9306 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40718": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9086 ], + "D": [ "0" ], + "Z": [ 9309 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40719": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9258 ], + "D": [ "0" ], + "Z": [ 9310 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40720": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9275 ], + "D": [ "0" ], + "Z": [ 9311 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40721": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9260 ], + "B": [ 9010 ], + "C": [ 9011 ], + "D": [ "0" ], + "Z": [ 9312 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40722": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1348 ], + "B": [ 9313 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9314 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40723": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1347 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9313 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40724": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36744 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9103 ], + "B": [ 1219 ], + "C": [ 9315 ], + "D": [ 9316 ], + "Z": [ 9317 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3935 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9318 ], + "B": [ 8758 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9319 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3903 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9318 ], + "B": [ 8758 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9320 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9318 ], + "B": [ 8758 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9321 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9318 ], + "B": [ 8758 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9322 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9320 ], + "BLUT": [ 9319 ], + "C0": [ 85 ], + "Z": [ 9323 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9322 ], + "BLUT": [ 9321 ], + "C0": [ 85 ], + "Z": [ 9324 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 9323 ], + "D1": [ 9324 ], + "SD": [ 9325 ], + "Z": [ 9315 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40726": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9108 ], + "B": [ 9128 ], + "C": [ 9117 ], + "D": [ "0" ], + "Z": [ 9325 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40727": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9117 ], + "B": [ 9109 ], + "C": [ 9129 ], + "D": [ "0" ], + "Z": [ 9316 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40728": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36744 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9103 ], + "B": [ 1201 ], + "C": [ 9326 ], + "D": [ 9327 ], + "Z": [ 9328 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3935 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:86" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9329 ], + "B": [ 8766 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9330 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3903 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:88" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9329 ], + "B": [ 8766 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9331 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.lut2": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:91" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9329 ], + "B": [ 8766 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9332 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.lut3": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:93" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9329 ], + "B": [ 8766 ], + "C": [ 9108 ], + "D": [ 9109 ], + "Z": [ 9333 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.mux50": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:96" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9331 ], + "BLUT": [ 9330 ], + "C0": [ 85 ], + "Z": [ 9334 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.mux51": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:97" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9333 ], + "BLUT": [ 9332 ], + "C0": [ 85 ], + "Z": [ 9335 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.mux6": { + "hide_name": 1, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:98" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 9334 ], + "D1": [ 9335 ], + "SD": [ 9336 ], + "Z": [ 9326 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40730": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9108 ], + "B": [ 9128 ], + "C": [ 9126 ], + "D": [ "0" ], + "Z": [ 9336 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40731": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9126 ], + "B": [ 9109 ], + "C": [ 9129 ], + "D": [ "0" ], + "Z": [ 9327 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40732": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9118 ], + "B": [ 9228 ], + "C": [ 9337 ], + "D": [ 9128 ], + "Z": [ 9338 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40733": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 51 ], + "B": [ 9064 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9337 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40734": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 30192 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 54 ], + "B": [ 53 ], + "C": [ 9339 ], + "D": [ 9064 ], + "Z": [ 9340 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40735": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9127 ], + "B": [ 9192 ], + "C": [ 9128 ], + "D": [ "0" ], + "Z": [ 9339 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40736": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9258 ], + "D": [ "0" ], + "Z": [ 9341 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40737": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 8993 ], + "D": [ "0" ], + "Z": [ 9342 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40738": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9101 ], + "D": [ "0" ], + "Z": [ 9343 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40739": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9282 ], + "D": [ "0" ], + "Z": [ 9344 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40740": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9275 ], + "D": [ "0" ], + "Z": [ 9345 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40741": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9285 ], + "D": [ "0" ], + "Z": [ 9346 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40742": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9093 ], + "D": [ "0" ], + "Z": [ 9347 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40743.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9348 ], + "C": [ 20 ], + "D": [ 9349 ], + "Z": [ 9350 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40743.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9348 ], + "C": [ 20 ], + "D": [ 9349 ], + "Z": [ 9351 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40743.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9351 ], + "BLUT": [ 9350 ], + "C0": [ 9352 ], + "Z": [ 9353 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40744": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9354 ], + "B": [ 39 ], + "C": [ 9355 ], + "D": [ 9356 ], + "Z": [ 9349 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40745": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9357 ], + "B": [ 45 ], + "C": [ 9358 ], + "D": [ 9359 ], + "Z": [ 9355 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40746": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9360 ], + "C": [ 49 ], + "D": [ 9361 ], + "Z": [ 9358 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40747.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9362 ], + "B": [ 27 ], + "C": [ 9363 ], + "D": [ 33 ], + "Z": [ 9364 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40747.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9362 ], + "B": [ 27 ], + "C": [ 9363 ], + "D": [ 33 ], + "Z": [ 9365 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40747.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9365 ], + "BLUT": [ 9364 ], + "C0": [ 18 ], + "Z": [ 9359 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40748": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9366 ], + "B": [ 31 ], + "C": [ 47 ], + "D": [ 9367 ], + "Z": [ 9356 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40749": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9368 ], + "B": [ 58 ], + "C": [ 9369 ], + "D": [ 60 ], + "Z": [ 9352 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40750": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9081 ], + "C": [ 9370 ], + "D": [ 63 ], + "Z": [ 9348 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40751.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9371 ], + "C": [ 20 ], + "D": [ 9372 ], + "Z": [ 9373 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40751.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9371 ], + "C": [ 20 ], + "D": [ 9372 ], + "Z": [ 9374 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40751.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9374 ], + "BLUT": [ 9373 ], + "C0": [ 9375 ], + "Z": [ 9376 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40752": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9377 ], + "B": [ 45 ], + "C": [ 9378 ], + "D": [ 9379 ], + "Z": [ 9372 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40753.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9380 ], + "C": [ 9381 ], + "D": [ 33 ], + "Z": [ 9382 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40753.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9380 ], + "C": [ 9381 ], + "D": [ 33 ], + "Z": [ 9383 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40753.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9383 ], + "BLUT": [ 9382 ], + "C0": [ 9384 ], + "Z": [ 9378 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40754": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9385 ], + "B": [ 31 ], + "C": [ 27 ], + "D": [ 9386 ], + "Z": [ 9384 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40755": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9387 ], + "B": [ 37 ], + "C": [ 18 ], + "D": [ 9388 ], + "Z": [ 9379 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40756": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9389 ], + "B": [ 49 ], + "C": [ 39 ], + "D": [ 9390 ], + "Z": [ 9388 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40757": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9391 ], + "B": [ 58 ], + "C": [ 9392 ], + "D": [ 60 ], + "Z": [ 9375 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40758": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9282 ], + "C": [ 9393 ], + "D": [ 63 ], + "Z": [ 9371 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40759.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9394 ], + "C": [ 20 ], + "D": [ 9395 ], + "Z": [ 9396 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40759.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9394 ], + "C": [ 20 ], + "D": [ 9395 ], + "Z": [ 9397 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40759.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9397 ], + "BLUT": [ 9396 ], + "C0": [ 9398 ], + "Z": [ 9399 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40760": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9400 ], + "B": [ 47 ], + "C": [ 9401 ], + "D": [ 9402 ], + "Z": [ 9395 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40761.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9403 ], + "C": [ 9404 ], + "D": [ 31 ], + "Z": [ 9405 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40761.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9403 ], + "C": [ 9404 ], + "D": [ 31 ], + "Z": [ 9406 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40761.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9406 ], + "BLUT": [ 9405 ], + "C0": [ 9407 ], + "Z": [ 9401 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40762": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9408 ], + "C": [ 39 ], + "D": [ 9409 ], + "Z": [ 9407 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40763": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9410 ], + "B": [ 33 ], + "C": [ 18 ], + "D": [ 9411 ], + "Z": [ 9402 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40764": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9412 ], + "B": [ 45 ], + "C": [ 27 ], + "D": [ 9413 ], + "Z": [ 9411 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40765": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9414 ], + "B": [ 58 ], + "C": [ 9415 ], + "D": [ 60 ], + "Z": [ 9398 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40766": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9285 ], + "C": [ 9416 ], + "D": [ 63 ], + "Z": [ 9394 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40767.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9417 ], + "C": [ 20 ], + "D": [ 9418 ], + "Z": [ 9419 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40767.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9417 ], + "C": [ 20 ], + "D": [ 9418 ], + "Z": [ 9420 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40767.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9420 ], + "BLUT": [ 9419 ], + "C0": [ 9421 ], + "Z": [ 9422 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40768": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9423 ], + "B": [ 45 ], + "C": [ 9424 ], + "D": [ 9425 ], + "Z": [ 9418 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40769.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9426 ], + "B": [ 31 ], + "C": [ 9427 ], + "D": [ 18 ], + "Z": [ 9428 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40769.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9426 ], + "B": [ 31 ], + "C": [ 9427 ], + "D": [ 18 ], + "Z": [ 9429 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40769.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9429 ], + "BLUT": [ 9428 ], + "C0": [ 9430 ], + "Z": [ 9424 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40770": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9431 ], + "B": [ 49 ], + "C": [ 39 ], + "D": [ 9432 ], + "Z": [ 9427 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40771": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9433 ], + "B": [ 47 ], + "C": [ 27 ], + "D": [ 9434 ], + "Z": [ 9430 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40772": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9435 ], + "B": [ 37 ], + "C": [ 33 ], + "D": [ 9436 ], + "Z": [ 9425 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40773": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9437 ], + "B": [ 58 ], + "C": [ 9438 ], + "D": [ 60 ], + "Z": [ 9421 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40774": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9439 ], + "C": [ 9440 ], + "D": [ 63 ], + "Z": [ 9417 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40775": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9081 ], + "D": [ "0" ], + "Z": [ 9441 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40776": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9084 ], + "D": [ "0" ], + "Z": [ 9442 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40777": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9443 ], + "C": [ 9132 ], + "D": [ 9444 ], + "Z": [ 9445 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40778": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9446 ], + "B": [ 9185 ], + "C": [ 9447 ], + "D": [ 9133 ], + "Z": [ 9444 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40779": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9064 ], + "B": [ 9443 ], + "C": [ 54 ], + "D": [ 9050 ], + "Z": [ 9447 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40780": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9119 ], + "B": [ 9126 ], + "C": [ 9125 ], + "D": [ 9117 ], + "Z": [ 9443 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40781": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9443 ], + "C": [ 9192 ], + "D": [ 9448 ], + "Z": [ 9449 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40782": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9392 ], + "B": [ 9282 ], + "C": [ 9447 ], + "D": [ 9133 ], + "Z": [ 9448 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40783": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9088 ], + "D": [ "0" ], + "Z": [ 9450 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40784": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 9451 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40785": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9285 ], + "D": [ "0" ], + "Z": [ 9452 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40786": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9288 ], + "D": [ "0" ], + "Z": [ 9453 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40787": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9010 ], + "B": [ 9011 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9454 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40788": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1278 ], + "B": [ 9455 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9456 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40789": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1277 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9455 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40790": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1019 ], + "B": [ 9457 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9458 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40791": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1018 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9457 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40792": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9191 ], + "C": [ 9227 ], + "D": [ 9459 ], + "Z": [ 9460 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40793": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9369 ], + "B": [ 9081 ], + "C": [ 9195 ], + "D": [ 9133 ], + "Z": [ 9459 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40794": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9461 ], + "B": [ 9462 ], + "C": [ 9463 ], + "D": [ 9464 ], + "Z": [ 9465 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40795": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9466 ], + "B": [ 9462 ], + "C": [ 9467 ], + "D": [ 9468 ], + "Z": [ 9463 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40796": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9469 ], + "B": [ 9467 ], + "C": [ 9466 ], + "D": [ 9470 ], + "Z": [ 9464 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40797": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9185 ], + "D": [ "0" ], + "Z": [ 9471 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40798": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9439 ], + "D": [ "0" ], + "Z": [ 9472 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40799": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4351 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9473 ], + "B": [ 9040 ], + "C": [ 4 ], + "D": [ 9474 ], + "Z": [ 9475 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40800": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 13 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9050 ], + "B": [ 9476 ], + "C": [ 60 ], + "D": [ 9477 ], + "Z": [ 9474 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40801": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 11 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9057 ], + "B": [ 54 ], + "C": [ 9478 ], + "D": [ 9479 ], + "Z": [ 9476 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40802": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9053 ], + "B": [ 9109 ], + "C": [ 9074 ], + "D": [ "0" ], + "Z": [ 9478 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40803": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9072 ], + "B": [ 9074 ], + "C": [ 9073 ], + "D": [ 9053 ], + "Z": [ 9479 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40804.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3855 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 53 ], + "B": [ 52 ], + "C": [ 9480 ], + "D": [ 9481 ], + "Z": [ 9482 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40804.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65416 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 53 ], + "B": [ 52 ], + "C": [ 9480 ], + "D": [ 9481 ], + "Z": [ 9483 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40804.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9483 ], + "BLUT": [ 9482 ], + "C0": [ 9052 ], + "Z": [ 9477 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40805": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 57582 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9484 ], + "B": [ 9075 ], + "C": [ 9485 ], + "D": [ 9051 ], + "Z": [ 9481 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40806": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 13 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9486 ], + "B": [ 9067 ], + "C": [ 9076 ], + "D": [ "0" ], + "Z": [ 9484 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40807": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32768 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9068 ], + "B": [ 9064 ], + "C": [ 9062 ], + "D": [ 9078 ], + "Z": [ 9486 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40808": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9056 ], + "B": [ 9055 ], + "C": [ 9054 ], + "D": [ "0" ], + "Z": [ 9485 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40809": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7936 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9057 ], + "B": [ 52 ], + "C": [ 54 ], + "D": [ 9068 ], + "Z": [ 9480 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40810": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 79 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9487 ], + "B": [ 9488 ], + "C": [ 9076 ], + "D": [ 9041 ], + "Z": [ 9473 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40811": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9075 ], + "B": [ 9054 ], + "C": [ 9050 ], + "D": [ 9053 ], + "Z": [ 9487 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40812": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61951 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9070 ], + "B": [ 9067 ], + "C": [ 9075 ], + "D": [ 9052 ], + "Z": [ 9488 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40813.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9489 ], + "C": [ 20 ], + "D": [ 9490 ], + "Z": [ 9491 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40813.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9489 ], + "C": [ 20 ], + "D": [ 9490 ], + "Z": [ 9492 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40813.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9492 ], + "BLUT": [ 9491 ], + "C0": [ 9493 ], + "Z": [ 9494 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40814": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9495 ], + "B": [ 39 ], + "C": [ 9496 ], + "D": [ 9497 ], + "Z": [ 9490 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40815": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9498 ], + "B": [ 31 ], + "C": [ 9499 ], + "D": [ 9500 ], + "Z": [ 9496 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40816": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9501 ], + "C": [ 49 ], + "D": [ 9502 ], + "Z": [ 9499 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40817.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9503 ], + "C": [ 9504 ], + "D": [ 33 ], + "Z": [ 9505 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40817.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9503 ], + "C": [ 9504 ], + "D": [ 33 ], + "Z": [ 9506 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40817.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9506 ], + "BLUT": [ 9505 ], + "C0": [ 18 ], + "Z": [ 9500 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40818": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9507 ], + "C": [ 47 ], + "D": [ 9508 ], + "Z": [ 9497 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40819": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9509 ], + "B": [ 58 ], + "C": [ 9510 ], + "D": [ 60 ], + "Z": [ 9493 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40820": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9036 ], + "C": [ 9511 ], + "D": [ 63 ], + "Z": [ 9489 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40821": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9288 ], + "D": [ "0" ], + "Z": [ 9512 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40822": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 52 ], + "B": [ 9230 ], + "C": [ 56 ], + "D": [ 9513 ], + "Z": [ 9514 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40823": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9132 ], + "B": [ 9066 ], + "C": [ 9233 ], + "D": [ 9228 ], + "Z": [ 9513 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40824": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9066 ], + "B": [ 9515 ], + "C": [ 9224 ], + "D": [ 9060 ], + "Z": [ 9516 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40825": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9228 ], + "B": [ 9517 ], + "C": [ 9234 ], + "D": [ "0" ], + "Z": [ 9515 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40826": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9227 ], + "B": [ 9132 ], + "C": [ 9192 ], + "D": [ "0" ], + "Z": [ 9517 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40827": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9065 ], + "B": [ 9518 ], + "C": [ 9230 ], + "D": [ 9063 ], + "Z": [ 9519 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40828.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9192 ], + "B": [ 9228 ], + "C": [ 9132 ], + "D": [ 9234 ], + "Z": [ 9520 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40828.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9192 ], + "B": [ 9228 ], + "C": [ 9132 ], + "D": [ 9234 ], + "Z": [ 9521 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40828.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9521 ], + "BLUT": [ 9520 ], + "C0": [ 9227 ], + "Z": [ 9518 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40829": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9066 ], + "B": [ 9522 ], + "C": [ 9224 ], + "D": [ 9061 ], + "Z": [ 9523 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40830": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9234 ], + "B": [ 9517 ], + "C": [ 9228 ], + "D": [ "0" ], + "Z": [ 9522 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40831.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9524 ], + "B": [ 4 ], + "C": [ 9074 ], + "D": [ 9128 ], + "Z": [ 9525 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40831.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65296 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9524 ], + "B": [ 4 ], + "C": [ 9074 ], + "D": [ 9128 ], + "Z": [ 9526 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40831.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9526 ], + "BLUT": [ 9525 ], + "C0": [ 9052 ], + "Z": [ 9527 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40832": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9109 ], + "B": [ 9050 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9524 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40833": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9439 ], + "D": [ "0" ], + "Z": [ 9528 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40834": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65344 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 52 ], + "B": [ 53 ], + "C": [ 9230 ], + "D": [ 9529 ], + "Z": [ 9530 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40835": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9052 ], + "B": [ 9040 ], + "C": [ 9531 ], + "D": [ "0" ], + "Z": [ 9529 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40836": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9227 ], + "B": [ 9228 ], + "C": [ 9192 ], + "D": [ 9132 ], + "Z": [ 9531 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40837": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 8993 ], + "D": [ "0" ], + "Z": [ 9532 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40838": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9282 ], + "D": [ "0" ], + "Z": [ 9533 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40839": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9285 ], + "D": [ "0" ], + "Z": [ 9534 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40840": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9288 ], + "D": [ "0" ], + "Z": [ 9535 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40841": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9012 ], + "B": [ 9260 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9536 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40842": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9101 ], + "D": [ "0" ], + "Z": [ 9537 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40843": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9538 ], + "B": [ 9462 ], + "C": [ 9539 ], + "D": [ 9540 ], + "Z": [ 9541 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40844": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9466 ], + "B": [ 9462 ], + "C": [ 9467 ], + "D": [ 9542 ], + "Z": [ 9539 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40845": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9543 ], + "B": [ 9467 ], + "C": [ 9466 ], + "D": [ 9544 ], + "Z": [ 9540 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40846": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9088 ], + "D": [ "0" ], + "Z": [ 9545 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40847": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9185 ], + "D": [ "0" ], + "Z": [ 9546 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40848": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9036 ], + "D": [ "0" ], + "Z": [ 9547 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40849": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9439 ], + "D": [ "0" ], + "Z": [ 9548 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40850": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9443 ], + "C": [ 9227 ], + "D": [ 9549 ], + "Z": [ 9550 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40851": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 59 ], + "B": [ 61 ], + "C": [ 9447 ], + "D": [ 9133 ], + "Z": [ 9549 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40852": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9081 ], + "D": [ "0" ], + "Z": [ 9551 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40853": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 9552 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40854": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9084 ], + "D": [ "0" ], + "Z": [ 9553 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40855": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9086 ], + "D": [ "0" ], + "Z": [ 9554 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40856": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9439 ], + "D": [ "0" ], + "Z": [ 9555 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40857": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9282 ], + "D": [ "0" ], + "Z": [ 9556 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40858": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9234 ], + "B": [ 9557 ], + "C": [ 9077 ], + "D": [ 9558 ], + "Z": [ 9559 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40859": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 53248 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9050 ], + "B": [ 9560 ], + "C": [ 9226 ], + "D": [ 9066 ], + "Z": [ 9558 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40860": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 29674 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9227 ], + "B": [ 9192 ], + "C": [ 9132 ], + "D": [ 9228 ], + "Z": [ 9560 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40861": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9132 ], + "B": [ 9192 ], + "C": [ 9228 ], + "D": [ 9227 ], + "Z": [ 9557 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40862": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9084 ], + "D": [ "0" ], + "Z": [ 9561 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40863": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9088 ], + "D": [ "0" ], + "Z": [ 9562 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40864": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9081 ], + "D": [ "0" ], + "Z": [ 9563 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40865": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9185 ], + "D": [ "0" ], + "Z": [ 9564 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40866": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 9565 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40867": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9036 ], + "D": [ "0" ], + "Z": [ 9566 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40868": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9084 ], + "D": [ "0" ], + "Z": [ 9567 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40869": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9439 ], + "D": [ "0" ], + "Z": [ 9568 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40870": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9034 ], + "C": [ 9086 ], + "D": [ "0" ], + "Z": [ 9569 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40871": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9454 ], + "B": [ 9260 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9570 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40872": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9571 ], + "C": [ 9227 ], + "D": [ 9572 ], + "Z": [ 9573 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40873": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9574 ], + "B": [ 9086 ], + "C": [ 9575 ], + "D": [ 9133 ], + "Z": [ 9572 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40874": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9064 ], + "B": [ 9571 ], + "C": [ 54 ], + "D": [ 9050 ], + "Z": [ 9575 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40875": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9126 ], + "B": [ 9125 ], + "C": [ 9117 ], + "D": [ 9119 ], + "Z": [ 9571 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40876": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9258 ], + "D": [ "0" ], + "Z": [ 9576 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40877": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 8993 ], + "D": [ "0" ], + "Z": [ 9577 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40878": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9101 ], + "D": [ "0" ], + "Z": [ 9578 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40879": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9282 ], + "D": [ "0" ], + "Z": [ 9579 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40880": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9275 ], + "D": [ "0" ], + "Z": [ 9580 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40881": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9285 ], + "D": [ "0" ], + "Z": [ 9581 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40882": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9093 ], + "D": [ "0" ], + "Z": [ 9582 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40883": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9288 ], + "D": [ "0" ], + "Z": [ 9583 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40884": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9571 ], + "C": [ 9192 ], + "D": [ 9584 ], + "Z": [ 9585 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40885": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9586 ], + "B": [ 9288 ], + "C": [ 9575 ], + "D": [ 9133 ], + "Z": [ 9584 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40886": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9571 ], + "C": [ 9132 ], + "D": [ 9587 ], + "Z": [ 9588 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40887": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9438 ], + "B": [ 9439 ], + "C": [ 9575 ], + "D": [ 9133 ], + "Z": [ 9587 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40888": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9571 ], + "C": [ 9228 ], + "D": [ 9589 ], + "Z": [ 9590 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40889": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9591 ], + "B": [ 9093 ], + "C": [ 9575 ], + "D": [ 9133 ], + "Z": [ 9589 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40890": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9592 ], + "C": [ 9227 ], + "D": [ 9593 ], + "Z": [ 9594 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40891": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9182 ], + "B": [ 9084 ], + "C": [ 9595 ], + "D": [ 9133 ], + "Z": [ 9593 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40892": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9064 ], + "B": [ 9592 ], + "C": [ 54 ], + "D": [ 9050 ], + "Z": [ 9595 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40893": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9117 ], + "B": [ 9126 ], + "C": [ 9125 ], + "D": [ 9119 ], + "Z": [ 9592 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40894": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9592 ], + "C": [ 9192 ], + "D": [ 9596 ], + "Z": [ 9597 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40895": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9415 ], + "B": [ 9285 ], + "C": [ 9595 ], + "D": [ 9133 ], + "Z": [ 9596 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40896": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9258 ], + "D": [ "0" ], + "Z": [ 9598 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40897": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 8993 ], + "D": [ "0" ], + "Z": [ 9599 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40898": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9101 ], + "D": [ "0" ], + "Z": [ 9600 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40899": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9282 ], + "D": [ "0" ], + "Z": [ 9601 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40900": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9275 ], + "D": [ "0" ], + "Z": [ 9602 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40901": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9285 ], + "D": [ "0" ], + "Z": [ 9603 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40902": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9093 ], + "D": [ "0" ], + "Z": [ 9604 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40903": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9288 ], + "D": [ "0" ], + "Z": [ 9605 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40904": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 239 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9606 ], + "B": [ 9607 ], + "C": [ 9608 ], + "D": [ "0" ], + "Z": [ 9609 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40905.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9053 ], + "B": [ 9074 ], + "C": [ 9610 ], + "D": [ 9109 ], + "Z": [ 9611 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40905.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 143 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9053 ], + "B": [ 9074 ], + "C": [ 9610 ], + "D": [ 9109 ], + "Z": [ 9612 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40905.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9612 ], + "BLUT": [ 9611 ], + "C0": [ 9050 ], + "Z": [ 9606 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40906": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32512 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9045 ], + "B": [ 9044 ], + "C": [ 53 ], + "D": [ 54 ], + "Z": [ 9610 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40907": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3003 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9613 ], + "B": [ 9064 ], + "C": [ 9053 ], + "D": [ 9128 ], + "Z": [ 9608 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40908": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 36608 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9055 ], + "B": [ 9614 ], + "C": [ 9052 ], + "D": [ 9056 ], + "Z": [ 9613 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40909": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 48896 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9075 ], + "B": [ 9076 ], + "C": [ 9080 ], + "D": [ 9054 ], + "Z": [ 9614 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40910": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9075 ], + "B": [ 9052 ], + "C": [ 9615 ], + "D": [ 9070 ], + "Z": [ 9607 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40911": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9040 ], + "B": [ 4 ], + "C": [ 9076 ], + "D": [ "0" ], + "Z": [ 9615 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40912": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9034 ], + "C": [ 9036 ], + "D": [ "0" ], + "Z": [ 9616 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40913": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9617 ], + "B": [ 9618 ], + "C": [ 9224 ], + "D": [ 9073 ], + "Z": [ 9619 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40914": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 112 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9062 ], + "B": [ 9064 ], + "C": [ 9040 ], + "D": [ "0" ], + "Z": [ 9618 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40915": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9228 ], + "B": [ 9132 ], + "C": [ 9620 ], + "D": [ "0" ], + "Z": [ 9617 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40916": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 7 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9063 ], + "B": [ 9064 ], + "C": [ 9227 ], + "D": [ 9192 ], + "Z": [ 9620 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40917": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 244 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9610 ], + "B": [ 9524 ], + "C": [ 9621 ], + "D": [ "0" ], + "Z": [ 9622 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40918": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9075 ], + "B": [ 9615 ], + "C": [ 9052 ], + "D": [ 9067 ], + "Z": [ 9621 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40919": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9034 ], + "B": [ 18 ], + "C": [ 31 ], + "D": [ 4 ], + "Z": [ 9623 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40920": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 992 ], + "B": [ 9624 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9625 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40921": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 991 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9624 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40922.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 32896 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9626 ], + "B": [ 9234 ], + "C": [ 9065 ], + "D": [ 9058 ], + "Z": [ 9627 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40922.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9626 ], + "B": [ 9234 ], + "C": [ 9065 ], + "D": [ 9058 ], + "Z": [ 9628 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40922.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9628 ], + "BLUT": [ 9627 ], + "C0": [ 9224 ], + "Z": [ 9629 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40923": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9132 ], + "B": [ 9228 ], + "C": [ 9192 ], + "D": [ 9227 ], + "Z": [ 9626 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40924": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 87 ], + "B": [ 89 ], + "C": [ 92 ], + "D": [ "0" ], + "Z": [ 9630 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40925.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9631 ], + "C": [ 20 ], + "D": [ 9632 ], + "Z": [ 9633 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40925.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9631 ], + "C": [ 20 ], + "D": [ 9632 ], + "Z": [ 9634 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40925.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9634 ], + "BLUT": [ 9633 ], + "C0": [ 9635 ], + "Z": [ 9636 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40926": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9637 ], + "B": [ 45 ], + "C": [ 9638 ], + "D": [ 9639 ], + "Z": [ 9632 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40927.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9640 ], + "B": [ 47 ], + "C": [ 9641 ], + "D": [ 33 ], + "Z": [ 9642 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40927.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9640 ], + "B": [ 47 ], + "C": [ 9641 ], + "D": [ 33 ], + "Z": [ 9643 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40927.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9643 ], + "BLUT": [ 9642 ], + "C0": [ 9644 ], + "Z": [ 9638 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40928": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9645 ], + "C": [ 27 ], + "D": [ 9646 ], + "Z": [ 9644 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40929": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9647 ], + "B": [ 49 ], + "C": [ 18 ], + "D": [ 9648 ], + "Z": [ 9639 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40930": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9649 ], + "C": [ 39 ], + "D": [ 9650 ], + "Z": [ 9648 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40931": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9651 ], + "B": [ 58 ], + "C": [ 9586 ], + "D": [ 60 ], + "Z": [ 9635 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40932": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9288 ], + "C": [ 9652 ], + "D": [ 63 ], + "Z": [ 9631 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40933": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9653 ], + "B": [ 9069 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9654 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40934": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9040 ], + "B": [ 9655 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9653 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40935": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 64 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9075 ], + "B": [ 9076 ], + "C": [ 9052 ], + "D": [ "0" ], + "Z": [ 9655 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40936": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9656 ], + "B": [ 9657 ], + "C": [ 9658 ], + "D": [ 9065 ], + "Z": [ 9659 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40937": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 208 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9050 ], + "B": [ 9660 ], + "C": [ 9226 ], + "D": [ "0" ], + "Z": [ 9658 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40938": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 60407 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9132 ], + "B": [ 9227 ], + "C": [ 9228 ], + "D": [ 9192 ], + "Z": [ 9660 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40939": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9132 ], + "B": [ 9228 ], + "C": [ 9620 ], + "D": [ "0" ], + "Z": [ 9657 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40940": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9062 ], + "B": [ 9064 ], + "C": [ 9066 ], + "D": [ 9050 ], + "Z": [ 9656 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40941": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 202 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1219 ], + "B": [ 1220 ], + "C": [ 970 ], + "D": [ "0" ], + "Z": [ 9661 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40942": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1034 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9662 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40943": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1255 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9663 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40944": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 970 ], + "B": [ 1320 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9664 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40945": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9282 ], + "D": [ "0" ], + "Z": [ 9665 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40946": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9439 ], + "D": [ "0" ], + "Z": [ 9666 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40947": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9124 ], + "B": [ 9227 ], + "C": [ 9337 ], + "D": [ 9128 ], + "Z": [ 9667 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40948": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9234 ], + "B": [ 9221 ], + "C": [ 9080 ], + "D": [ "0" ], + "Z": [ 9668 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40949": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9522 ], + "B": [ 9080 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9669 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40950": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9515 ], + "B": [ 9080 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9670 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40951": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 8 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9653 ], + "B": [ 9071 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9671 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40952": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9439 ], + "D": [ "0" ], + "Z": [ 9672 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40953": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9088 ], + "D": [ "0" ], + "Z": [ 9673 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40954": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 9662 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9674 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40955": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1221 ], + "B": [ 9661 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9675 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40956": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 44236 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9676 ], + "B": [ 9677 ], + "C": [ 9064 ], + "D": [ 55 ], + "Z": [ 9678 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40957": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61678 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 41 ], + "C": [ 9679 ], + "D": [ 18 ], + "Z": [ 9677 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40958": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9439 ], + "D": [ "0" ], + "Z": [ 9680 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40959": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9101 ], + "D": [ "0" ], + "Z": [ 9681 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40960": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9036 ], + "D": [ "0" ], + "Z": [ 9682 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40961": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9088 ], + "D": [ "0" ], + "Z": [ 9683 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40962": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9185 ], + "D": [ "0" ], + "Z": [ 9684 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40963": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 37 ], + "B": [ 9034 ], + "C": [ 9036 ], + "D": [ "0" ], + "Z": [ 9685 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40964": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9686 ], + "B": [ 9192 ], + "C": [ 9571 ], + "D": [ 9050 ], + "Z": [ 9687 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40965": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9688 ], + "B": [ 9227 ], + "C": [ 9571 ], + "D": [ 9050 ], + "Z": [ 9689 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40966": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 14 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9078 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9690 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40967": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9691 ], + "B": [ 9227 ], + "C": [ 9191 ], + "D": [ 9050 ], + "Z": [ 9692 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40968": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9693 ], + "B": [ 9228 ], + "C": [ 9191 ], + "D": [ 9050 ], + "Z": [ 9694 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40969": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9695 ], + "B": [ 9228 ], + "C": [ 9443 ], + "D": [ 9050 ], + "Z": [ 9696 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40970": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9697 ], + "B": [ 9132 ], + "C": [ 9191 ], + "D": [ 9050 ], + "Z": [ 9698 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40971": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9699 ], + "B": [ 9132 ], + "C": [ 9443 ], + "D": [ 9050 ], + "Z": [ 9700 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40972": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9701 ], + "B": [ 9192 ], + "C": [ 9191 ], + "D": [ 9050 ], + "Z": [ 9702 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40973": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9703 ], + "B": [ 9192 ], + "C": [ 9443 ], + "D": [ 9050 ], + "Z": [ 9704 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40974": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9705 ], + "B": [ 9227 ], + "C": [ 9443 ], + "D": [ 9050 ], + "Z": [ 9706 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40975": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9707 ], + "B": [ 9228 ], + "C": [ 9592 ], + "D": [ 9050 ], + "Z": [ 9708 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40976": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9709 ], + "B": [ 9132 ], + "C": [ 9592 ], + "D": [ 9050 ], + "Z": [ 9710 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40977": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9711 ], + "B": [ 9192 ], + "C": [ 9592 ], + "D": [ 9050 ], + "Z": [ 9712 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40978": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9713 ], + "B": [ 9227 ], + "C": [ 9592 ], + "D": [ 9050 ], + "Z": [ 9714 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40979": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9715 ], + "B": [ 9228 ], + "C": [ 9571 ], + "D": [ 9050 ], + "Z": [ 9716 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40980": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 51712 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9717 ], + "B": [ 9132 ], + "C": [ 9571 ], + "D": [ 9050 ], + "Z": [ 9718 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40981": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9656 ], + "B": [ 9617 ], + "C": [ 9224 ], + "D": [ 9059 ], + "Z": [ 9719 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40982": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 33 ], + "B": [ 9034 ], + "C": [ 9088 ], + "D": [ "0" ], + "Z": [ 9720 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40983": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 61 ], + "D": [ "0" ], + "Z": [ 9721 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40984": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9285 ], + "D": [ "0" ], + "Z": [ 9722 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40985": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9034 ], + "C": [ 9288 ], + "D": [ "0" ], + "Z": [ 9723 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40986": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9724 ], + "B": [ 9462 ], + "C": [ 9725 ], + "D": [ 9726 ], + "Z": [ 9727 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40987": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9466 ], + "B": [ 9462 ], + "C": [ 9467 ], + "D": [ 9728 ], + "Z": [ 9725 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40988": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9729 ], + "B": [ 9467 ], + "C": [ 9466 ], + "D": [ 9730 ], + "Z": [ 9726 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40989": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 8993 ], + "D": [ "0" ], + "Z": [ 9731 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40990": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9034 ], + "C": [ 9185 ], + "D": [ "0" ], + "Z": [ 9732 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40991": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1256 ], + "B": [ 9663 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9733 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40992": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1321 ], + "B": [ 9664 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9734 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40993": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 44236 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9735 ], + "B": [ 9736 ], + "C": [ 9064 ], + "D": [ 55 ], + "Z": [ 9737 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40994": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61678 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 43 ], + "C": [ 9738 ], + "D": [ 18 ], + "Z": [ 9736 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40995": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 172 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1092 ], + "B": [ 8999 ], + "C": [ 978 ], + "D": [ "0" ], + "Z": [ 9739 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40996.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9740 ], + "C": [ 20 ], + "D": [ 9741 ], + "Z": [ 9742 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40996.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9740 ], + "C": [ 20 ], + "D": [ 9741 ], + "Z": [ 9743 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40996.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9743 ], + "BLUT": [ 9742 ], + "C0": [ 9744 ], + "Z": [ 9745 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40997": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9746 ], + "B": [ 33 ], + "C": [ 9747 ], + "D": [ 9748 ], + "Z": [ 9741 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40998": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9749 ], + "B": [ 49 ], + "C": [ 9750 ], + "D": [ 9751 ], + "Z": [ 9747 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40999": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9752 ], + "B": [ 37 ], + "C": [ 39 ], + "D": [ 9753 ], + "Z": [ 9750 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41000.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9754 ], + "B": [ 31 ], + "C": [ 9755 ], + "D": [ 47 ], + "Z": [ 9756 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41000.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9754 ], + "B": [ 31 ], + "C": [ 9755 ], + "D": [ 47 ], + "Z": [ 9757 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41000.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9757 ], + "BLUT": [ 9756 ], + "C0": [ 18 ], + "Z": [ 9751 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41001": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9758 ], + "B": [ 45 ], + "C": [ 27 ], + "D": [ 9759 ], + "Z": [ 9748 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41002": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9760 ], + "B": [ 58 ], + "C": [ 9446 ], + "D": [ 60 ], + "Z": [ 9744 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41003": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9185 ], + "C": [ 9761 ], + "D": [ 63 ], + "Z": [ 9740 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41004": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 208 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 9762 ], + "C": [ 9763 ], + "D": [ "0" ], + "Z": [ 9764 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41005": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 30479 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9765 ], + "B": [ 9766 ], + "C": [ 9767 ], + "D": [ 18 ], + "Z": [ 9762 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41006.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9768 ], + "C": [ 9769 ], + "D": [ 27 ], + "Z": [ 9770 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41006.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9768 ], + "C": [ 9769 ], + "D": [ 27 ], + "Z": [ 9771 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41006.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9771 ], + "BLUT": [ 9770 ], + "C0": [ 9772 ], + "Z": [ 9766 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41007": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9773 ], + "B": [ 47 ], + "C": [ 33 ], + "D": [ 9774 ], + "Z": [ 9772 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41008.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9775 ], + "C": [ 9776 ], + "D": [ 39 ], + "Z": [ 9777 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41008.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9775 ], + "C": [ 9776 ], + "D": [ 39 ], + "Z": [ 9778 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41008.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9778 ], + "BLUT": [ 9777 ], + "C0": [ 9779 ], + "Z": [ 9765 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41009": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9780 ], + "B": [ 37 ], + "C": [ 31 ], + "D": [ 9781 ], + "Z": [ 9779 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41010": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9275 ], + "C": [ 9782 ], + "D": [ 63 ], + "Z": [ 9767 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41011": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9783 ], + "B": [ 58 ], + "C": [ 9784 ], + "D": [ 60 ], + "Z": [ 9763 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41012.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9785 ], + "C": [ 20 ], + "D": [ 9786 ], + "Z": [ 9787 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41012.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9785 ], + "C": [ 20 ], + "D": [ 9786 ], + "Z": [ 9788 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41012.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9788 ], + "BLUT": [ 9787 ], + "C0": [ 9789 ], + "Z": [ 9790 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41013": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9791 ], + "B": [ 45 ], + "C": [ 9792 ], + "D": [ 9793 ], + "Z": [ 9786 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41014.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9794 ], + "C": [ 9795 ], + "D": [ 33 ], + "Z": [ 9796 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41014.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9794 ], + "C": [ 9795 ], + "D": [ 33 ], + "Z": [ 9797 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41014.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9797 ], + "BLUT": [ 9796 ], + "C0": [ 9798 ], + "Z": [ 9792 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41015": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9799 ], + "C": [ 27 ], + "D": [ 9800 ], + "Z": [ 9798 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41016": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9801 ], + "B": [ 37 ], + "C": [ 18 ], + "D": [ 9802 ], + "Z": [ 9793 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41017": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 49 ], + "B": [ 9803 ], + "C": [ 39 ], + "D": [ 9804 ], + "Z": [ 9802 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41018": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9805 ], + "B": [ 58 ], + "C": [ 9591 ], + "D": [ 60 ], + "Z": [ 9789 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41019": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9093 ], + "C": [ 9806 ], + "D": [ 63 ], + "Z": [ 9785 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41020.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9807 ], + "C": [ 20 ], + "D": [ 9808 ], + "Z": [ 9809 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41020.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9807 ], + "C": [ 20 ], + "D": [ 9808 ], + "Z": [ 9810 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41020.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9810 ], + "BLUT": [ 9809 ], + "C0": [ 9811 ], + "Z": [ 9812 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41021": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9813 ], + "B": [ 47 ], + "C": [ 9814 ], + "D": [ 9815 ], + "Z": [ 9808 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41022": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9816 ], + "B": [ 49 ], + "C": [ 9817 ], + "D": [ 9818 ], + "Z": [ 9814 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41023": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 45 ], + "B": [ 9819 ], + "C": [ 39 ], + "D": [ 9820 ], + "Z": [ 9817 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41024.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9821 ], + "B": [ 37 ], + "C": [ 9822 ], + "D": [ 33 ], + "Z": [ 9823 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41024.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9821 ], + "B": [ 37 ], + "C": [ 9822 ], + "D": [ 33 ], + "Z": [ 9824 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41024.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9824 ], + "BLUT": [ 9823 ], + "C0": [ 18 ], + "Z": [ 9818 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41025": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 31 ], + "B": [ 9825 ], + "C": [ 27 ], + "D": [ 9826 ], + "Z": [ 9815 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41026": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9827 ], + "B": [ 58 ], + "C": [ 9574 ], + "D": [ 60 ], + "Z": [ 9811 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41027": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9086 ], + "C": [ 9828 ], + "D": [ 63 ], + "Z": [ 9807 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41028.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9829 ], + "C": [ 20 ], + "D": [ 9830 ], + "Z": [ 9831 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41028.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4031 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 18 ], + "B": [ 9829 ], + "C": [ 20 ], + "D": [ 9830 ], + "Z": [ 9832 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41028.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9832 ], + "BLUT": [ 9831 ], + "C0": [ 9833 ], + "Z": [ 9834 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41029": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9835 ], + "B": [ 37 ], + "C": [ 9836 ], + "D": [ 9837 ], + "Z": [ 9830 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41030.lut0": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 0 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:78" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9838 ], + "C": [ 9839 ], + "D": [ 33 ], + "Z": [ 9840 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41030.lut1": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:80" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 47 ], + "B": [ 9838 ], + "C": [ 9839 ], + "D": [ 33 ], + "Z": [ 9841 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41030.mux5": { + "hide_name": 1, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:82" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 9841 ], + "BLUT": [ 9840 ], + "C0": [ 9842 ], + "Z": [ 9836 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41031": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9843 ], + "B": [ 45 ], + "C": [ 27 ], + "D": [ 9844 ], + "Z": [ 9842 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41032": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9845 ], + "B": [ 31 ], + "C": [ 18 ], + "D": [ 9846 ], + "Z": [ 9837 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41033": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9847 ], + "B": [ 49 ], + "C": [ 39 ], + "D": [ 9848 ], + "Z": [ 9846 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41034": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 45243 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9849 ], + "B": [ 58 ], + "C": [ 9850 ], + "D": [ 60 ], + "Z": [ 9833 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41035": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4027 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4 ], + "B": [ 9088 ], + "C": [ 9851 ], + "D": [ 63 ], + "Z": [ 9829 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41036": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9592 ], + "C": [ 9132 ], + "D": [ 9852 ], + "Z": [ 9853 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41037": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9510 ], + "B": [ 9036 ], + "C": [ 9595 ], + "D": [ 9133 ], + "Z": [ 9852 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41038": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9258 ], + "D": [ "0" ], + "Z": [ 9854 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41039": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9081 ], + "D": [ "0" ], + "Z": [ 9855 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41040": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9036 ], + "D": [ "0" ], + "Z": [ 9856 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41041": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9093 ], + "D": [ "0" ], + "Z": [ 9857 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41042": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9592 ], + "C": [ 9228 ], + "D": [ 9858 ], + "Z": [ 9859 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41043": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9784 ], + "B": [ 9275 ], + "C": [ 9595 ], + "D": [ 9133 ], + "Z": [ 9858 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41044": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63743 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9860 ], + "B": [ 9462 ], + "C": [ 9861 ], + "D": [ 9862 ], + "Z": [ 9863 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41045": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 256 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9466 ], + "B": [ 9462 ], + "C": [ 9467 ], + "D": [ 9864 ], + "Z": [ 9861 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41046": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9865 ], + "B": [ 9467 ], + "C": [ 9466 ], + "D": [ 9866 ], + "Z": [ 9862 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41047": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9065 ], + "B": [ 9522 ], + "C": [ 9230 ], + "D": [ 9062 ], + "Z": [ 9867 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41048": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9101 ], + "D": [ "0" ], + "Z": [ 9868 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41049": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9657 ], + "B": [ 9618 ], + "C": [ 9869 ], + "D": [ 9080 ], + "Z": [ 9870 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41050": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 208 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9050 ], + "B": [ 9871 ], + "C": [ 9226 ], + "D": [ "0" ], + "Z": [ 9869 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41051": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9221 ], + "B": [ 9517 ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 9871 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41052": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 63624 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9653 ], + "B": [ 63 ], + "C": [ 9487 ], + "D": [ 9615 ], + "Z": [ 9872 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41053": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65279 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9873 ], + "B": [ 9874 ], + "C": [ 9875 ], + "D": [ 9876 ], + "Z": [ 9877 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41054": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28672 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9878 ], + "B": [ 9879 ], + "C": [ 9234 ], + "D": [ 4 ], + "Z": [ 9873 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41055": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 28791 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9871 ], + "B": [ 9080 ], + "C": [ 9109 ], + "D": [ 9074 ], + "Z": [ 9879 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41056": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 1911 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9065 ], + "B": [ 9660 ], + "C": [ 9560 ], + "D": [ 9066 ], + "Z": [ 9878 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41057": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9880 ], + "B": [ 9040 ], + "C": [ 9052 ], + "D": [ 4 ], + "Z": [ 9874 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41058": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 14 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 52 ], + "B": [ 51 ], + "C": [ 9062 ], + "D": [ 9063 ], + "Z": [ 9880 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41059": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 61184 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9881 ], + "B": [ 9073 ], + "C": [ 9057 ], + "D": [ 9050 ], + "Z": [ 9875 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41060": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9109 ], + "B": [ 9052 ], + "C": [ 9074 ], + "D": [ "0" ], + "Z": [ 9881 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41061": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9882 ], + "B": [ 9883 ], + "C": [ 9655 ], + "D": [ 20 ], + "Z": [ 9876 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41062": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 4096 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9884 ], + "B": [ 9050 ], + "C": [ 9052 ], + "D": [ 4 ], + "Z": [ 9882 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41063": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 16384 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9080 ], + "B": [ 9057 ], + "C": [ 9054 ], + "D": [ 9044 ], + "Z": [ 9884 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41064": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 208 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9050 ], + "B": [ 9235 ], + "C": [ 9077 ], + "D": [ "0" ], + "Z": [ 9883 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41065": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9034 ], + "B": [ 18 ], + "C": [ 37 ], + "D": [ 4 ], + "Z": [ 9885 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41066": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9443 ], + "C": [ 9228 ], + "D": [ 9886 ], + "Z": [ 9887 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41067": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9159 ], + "B": [ 9101 ], + "C": [ 9447 ], + "D": [ 9133 ], + "Z": [ 9886 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41068": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9191 ], + "C": [ 9228 ], + "D": [ 9888 ], + "Z": [ 9889 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41069": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9257 ], + "B": [ 9258 ], + "C": [ 9195 ], + "D": [ 9133 ], + "Z": [ 9888 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41070": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 27 ], + "B": [ 9034 ], + "C": [ 9086 ], + "D": [ "0" ], + "Z": [ 9890 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41071": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65408 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9190 ], + "B": [ 9191 ], + "C": [ 9132 ], + "D": [ 9891 ], + "Z": [ 9892 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41072": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 3082 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9850 ], + "B": [ 9088 ], + "C": [ 9195 ], + "D": [ 9133 ], + "Z": [ 9891 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41073": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9086 ], + "D": [ "0" ], + "Z": [ 9893 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41074": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 128 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:68" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 39 ], + "B": [ 9034 ], + "C": [ 9275 ], + "D": [ "0" ], + "Z": [ 9894 ] + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41075": { + "hide_name": 1, + "type": "LUT4", + "parameters": { + "INIT": 65504 + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:72" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 9034 ], + "B": [ 18 ], + "C": [ 45 ], + "D": [ 4 ], + "Z": [ 9895 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7512.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:286|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 9258 ], + "B1": [ 9088 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 9896 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9259 ], + "S1": [ 9851 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7512.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:286|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9285 ], + "B1": [ 9084 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9897 ], + "COUT": [ 9898 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9416 ], + "S1": [ 9183 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7512.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:286|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9093 ], + "B1": [ 9439 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9898 ], + "COUT": [ 9899 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9806 ], + "S1": [ 9440 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7512.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:286|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9288 ], + "B1": [ 9086 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9899 ], + "COUT": [ 9900 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9652 ], + "S1": [ 9828 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7512.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:286|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 8993 ], + "B1": [ 9081 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9896 ], + "COUT": [ 9901 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8994 ], + "S1": [ 9370 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7512.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:286|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9101 ], + "B1": [ 9185 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9901 ], + "COUT": [ 9902 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9160 ], + "S1": [ 9761 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7512.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:286|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9282 ], + "B1": [ 61 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9902 ], + "COUT": [ 9903 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9393 ], + "S1": [ 62 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7512.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:286|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9275 ], + "B1": [ 9036 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9903 ], + "COUT": [ 9897 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9782 ], + "S1": [ 9511 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7515.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 9117 ], + "B1": [ 9119 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 9904 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1219 ], + "S1": [ 1118 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7515.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9126 ], + "B1": [ 9125 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9904 ], + "COUT": [ 9905 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1201 ], + "S1": [ 1000 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7515.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9905 ], + "COUT": [ 9906 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1237 ], + "S1": [ 9907 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7518.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:624|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9257 ], + "A1": [ 9850 ], + "B0": [ 9693 ], + "B1": [ 9697 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 9908 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9256 ], + "S1": [ 9849 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7518.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:624|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9415 ], + "A1": [ 9182 ], + "B0": [ 9711 ], + "B1": [ 9713 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9909 ], + "COUT": [ 9910 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9414 ], + "S1": [ 9181 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7518.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:624|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9591 ], + "A1": [ 9438 ], + "B0": [ 9715 ], + "B1": [ 9717 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9910 ], + "COUT": [ 9911 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9805 ], + "S1": [ 9437 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7518.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:624|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9586 ], + "A1": [ 9574 ], + "B0": [ 9686 ], + "B1": [ 9688 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9911 ], + "COUT": [ 9912 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9651 ], + "S1": [ 9827 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7518.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:624|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8992 ], + "A1": [ 9369 ], + "B0": [ 9701 ], + "B1": [ 9691 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9908 ], + "COUT": [ 9913 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8991 ], + "S1": [ 9368 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7518.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:624|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9159 ], + "A1": [ 9446 ], + "B0": [ 9695 ], + "B1": [ 9699 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9913 ], + "COUT": [ 9914 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9158 ], + "S1": [ 9760 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7518.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:624|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9392 ], + "A1": [ 59 ], + "B0": [ 9703 ], + "B1": [ 9705 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9914 ], + "COUT": [ 9915 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9391 ], + "S1": [ 57 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7518.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:624|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9784 ], + "A1": [ 9510 ], + "B0": [ 9707 ], + "B1": [ 9709 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9915 ], + "COUT": [ 9909 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9783 ], + "S1": [ 9509 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7521.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:866|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 41 ], + "B1": [ 43 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 9916 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9676 ], + "S1": [ 9735 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7521.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:866|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 42 ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9916 ], + "COUT": [ 9917 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9305 ], + "S1": [ 9918 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 9208 ], + "B1": [ 9209 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 9919 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9920 ], + "S1": [ 9921 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9218 ], + "B1": [ 9219 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9922 ], + "COUT": [ 9923 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9924 ], + "S1": [ 9925 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9204 ], + "B1": [ 9205 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9923 ], + "COUT": [ 9926 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9927 ], + "S1": [ 9928 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9212 ], + "B1": [ 9213 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9926 ], + "COUT": [ 9929 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9930 ], + "S1": [ 9931 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9206 ], + "B1": [ 9207 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9919 ], + "COUT": [ 9932 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9933 ], + "S1": [ 9934 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9210 ], + "B1": [ 9211 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9932 ], + "COUT": [ 9935 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9936 ], + "S1": [ 9937 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9216 ], + "B1": [ 9217 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9935 ], + "COUT": [ 9938 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9939 ], + "S1": [ 9940 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 9214 ], + "B1": [ 9215 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9938 ], + "COUT": [ 9922 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9941 ], + "S1": [ 9942 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7527.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 41 ], + "A1": [ 43 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 9943 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9679 ], + "S1": [ 9738 ] + } + }, + "$auto$alumacc.cc:474:replace_alu$7527.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 42 ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9943 ], + "COUT": [ 9944 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9308 ], + "S1": [ 9945 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10545": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9598 ], + "LSR": [ "0" ], + "Q": [ 9255 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10546": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9198 ], + "LSR": [ "0" ], + "Q": [ 9847 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10547": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9599 ], + "LSR": [ "0" ], + "Q": [ 8980 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10548": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9551 ], + "LSR": [ "0" ], + "Q": [ 9361 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10549": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9600 ], + "LSR": [ "0" ], + "Q": [ 9156 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10550": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9471 ], + "LSR": [ "0" ], + "Q": [ 9749 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10551": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9601 ], + "LSR": [ "0" ], + "Q": [ 9389 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10552": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9552 ], + "LSR": [ "0" ], + "Q": [ 50 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10553": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9602 ], + "LSR": [ "0" ], + "Q": [ 9775 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10554": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9616 ], + "LSR": [ "0" ], + "Q": [ 9502 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10555": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9603 ], + "LSR": [ "0" ], + "Q": [ 9408 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10556": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9553 ], + "LSR": [ "0" ], + "Q": [ 9177 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10557": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9604 ], + "LSR": [ "0" ], + "Q": [ 9803 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10558": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9472 ], + "LSR": [ "0" ], + "Q": [ 9431 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10559": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9605 ], + "LSR": [ "0" ], + "Q": [ 9647 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10560": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9035 ], + "CLK": [ 2 ], + "DI": [ 9554 ], + "LSR": [ "0" ], + "Q": [ 9816 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10789": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9576 ], + "LSR": [ "0" ], + "Q": [ 9245 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10790": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9545 ], + "LSR": [ "0" ], + "Q": [ 9844 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10791": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9577 ], + "LSR": [ "0" ], + "Q": [ 8990 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10792": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9441 ], + "LSR": [ "0" ], + "Q": [ 9362 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10793": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9578 ], + "LSR": [ "0" ], + "Q": [ 9153 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10794": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9546 ], + "LSR": [ "0" ], + "Q": [ 9759 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10795": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9579 ], + "LSR": [ "0" ], + "Q": [ 9386 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10796": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9187 ], + "LSR": [ "0" ], + "Q": [ 26 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10797": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9580 ], + "LSR": [ "0" ], + "Q": [ 9769 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10798": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9547 ], + "LSR": [ "0" ], + "Q": [ 9503 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10799": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9581 ], + "LSR": [ "0" ], + "Q": [ 9413 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10800": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9442 ], + "LSR": [ "0" ], + "Q": [ 9176 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10801": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9582 ], + "LSR": [ "0" ], + "Q": [ 9800 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10802": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9548 ], + "LSR": [ "0" ], + "Q": [ 9434 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10803": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9583 ], + "LSR": [ "0" ], + "Q": [ 9646 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10804": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9100 ], + "CLK": [ 2 ], + "DI": [ 9890 ], + "LSR": [ "0" ], + "Q": [ 9826 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10851": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9310 ], + "LSR": [ "0" ], + "Q": [ 9254 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10852": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9562 ], + "LSR": [ "0" ], + "Q": [ 9838 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10853": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9532 ], + "LSR": [ "0" ], + "Q": [ 8977 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10854": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9563 ], + "LSR": [ "0" ], + "Q": [ 9367 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10855": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9102 ], + "LSR": [ "0" ], + "Q": [ 9147 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10856": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9564 ], + "LSR": [ "0" ], + "Q": [ 9755 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10857": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9533 ], + "LSR": [ "0" ], + "Q": [ 9380 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10858": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9565 ], + "LSR": [ "0" ], + "Q": [ 48 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10859": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9311 ], + "LSR": [ "0" ], + "Q": [ 9773 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10860": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9566 ], + "LSR": [ "0" ], + "Q": [ 9508 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10861": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9534 ], + "LSR": [ "0" ], + "Q": [ 9400 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10862": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9567 ], + "LSR": [ "0" ], + "Q": [ 9170 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10863": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9094 ], + "LSR": [ "0" ], + "Q": [ 9794 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10864": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9568 ], + "LSR": [ "0" ], + "Q": [ 9433 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10865": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9535 ], + "LSR": [ "0" ], + "Q": [ 9640 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10866": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9188 ], + "CLK": [ 2 ], + "DI": [ 9569 ], + "LSR": [ "0" ], + "Q": [ 9813 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10894": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9297 ], + "LSR": [ "0" ], + "Q": [ 9252 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10895": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9673 ], + "LSR": [ "0" ], + "Q": [ 9845 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10896": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9298 ], + "LSR": [ "0" ], + "Q": [ 8989 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10897": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9095 ], + "LSR": [ "0" ], + "Q": [ 9366 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10898": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9537 ], + "LSR": [ "0" ], + "Q": [ 9152 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10899": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9274 ], + "LSR": [ "0" ], + "Q": [ 9754 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10900": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9665 ], + "LSR": [ "0" ], + "Q": [ 9385 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10901": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9721 ], + "LSR": [ "0" ], + "Q": [ 30 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10902": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9276 ], + "LSR": [ "0" ], + "Q": [ 9781 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10903": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9038 ], + "LSR": [ "0" ], + "Q": [ 9498 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10904": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9722 ], + "LSR": [ "0" ], + "Q": [ 9404 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10905": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9561 ], + "LSR": [ "0" ], + "Q": [ 9179 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10906": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9277 ], + "LSR": [ "0" ], + "Q": [ 9799 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10907": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9666 ], + "LSR": [ "0" ], + "Q": [ 9426 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10908": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9723 ], + "LSR": [ "0" ], + "Q": [ 9645 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10909": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9623 ], + "CLK": [ 2 ], + "DI": [ 9278 ], + "LSR": [ "0" ], + "Q": [ 9825 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10945": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9290 ], + "LSR": [ "0" ], + "Q": [ 9250 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10946": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9089 ], + "LSR": [ "0" ], + "Q": [ 9843 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10947": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9731 ], + "LSR": [ "0" ], + "Q": [ 8985 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10948": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9291 ], + "LSR": [ "0" ], + "Q": [ 9357 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10949": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9681 ], + "LSR": [ "0" ], + "Q": [ 9144 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10950": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9732 ], + "LSR": [ "0" ], + "Q": [ 9758 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10951": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9292 ], + "LSR": [ "0" ], + "Q": [ 9377 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10952": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9090 ], + "LSR": [ "0" ], + "Q": [ 44 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10953": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9293 ], + "LSR": [ "0" ], + "Q": [ 9768 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10954": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9682 ], + "LSR": [ "0" ], + "Q": [ 9507 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10955": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9294 ], + "LSR": [ "0" ], + "Q": [ 9412 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10956": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9091 ], + "LSR": [ "0" ], + "Q": [ 9175 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10957": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9295 ], + "LSR": [ "0" ], + "Q": [ 9791 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10958": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9672 ], + "LSR": [ "0" ], + "Q": [ 9423 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10959": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9296 ], + "LSR": [ "0" ], + "Q": [ 9637 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10960": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9895 ], + "CLK": [ 2 ], + "DI": [ 9092 ], + "LSR": [ "0" ], + "Q": [ 9819 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10995": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9279 ], + "LSR": [ "0" ], + "Q": [ 9242 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10996": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9683 ], + "LSR": [ "0" ], + "Q": [ 9835 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10997": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9280 ], + "LSR": [ "0" ], + "Q": [ 8983 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10998": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9082 ], + "LSR": [ "0" ], + "Q": [ 9360 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$10999": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9281 ], + "LSR": [ "0" ], + "Q": [ 9154 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11000": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9684 ], + "LSR": [ "0" ], + "Q": [ 9752 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11001": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9283 ], + "LSR": [ "0" ], + "Q": [ 9387 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11002": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9083 ], + "LSR": [ "0" ], + "Q": [ 38 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11003": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9284 ], + "LSR": [ "0" ], + "Q": [ 9780 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11004": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9685 ], + "LSR": [ "0" ], + "Q": [ 9501 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11005": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9286 ], + "LSR": [ "0" ], + "Q": [ 9403 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11006": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9085 ], + "LSR": [ "0" ], + "Q": [ 9167 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11007": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9287 ], + "LSR": [ "0" ], + "Q": [ 9801 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11008": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9680 ], + "LSR": [ "0" ], + "Q": [ 9435 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11009": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9289 ], + "LSR": [ "0" ], + "Q": [ 9649 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11010": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9885 ], + "CLK": [ 2 ], + "DI": [ 9087 ], + "LSR": [ "0" ], + "Q": [ 9821 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11184": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9854 ], + "LSR": [ "0" ], + "Q": [ 9251 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11185": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9450 ], + "LSR": [ "0" ], + "Q": [ 9848 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11186": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9196 ], + "LSR": [ "0" ], + "Q": [ 8984 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11187": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9855 ], + "LSR": [ "0" ], + "Q": [ 9354 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11188": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9868 ], + "LSR": [ "0" ], + "Q": [ 9157 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11189": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9186 ], + "LSR": [ "0" ], + "Q": [ 9753 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11190": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9556 ], + "LSR": [ "0" ], + "Q": [ 9390 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11191": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9451 ], + "LSR": [ "0" ], + "Q": [ 40 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11192": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9894 ], + "LSR": [ "0" ], + "Q": [ 9776 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11193": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9856 ], + "LSR": [ "0" ], + "Q": [ 9495 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11194": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9452 ], + "LSR": [ "0" ], + "Q": [ 9409 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11195": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9197 ], + "LSR": [ "0" ], + "Q": [ 9180 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11196": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9857 ], + "LSR": [ "0" ], + "Q": [ 9804 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11197": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9555 ], + "LSR": [ "0" ], + "Q": [ 9432 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11198": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9453 ], + "LSR": [ "0" ], + "Q": [ 9650 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11199": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9189 ], + "CLK": [ 2 ], + "DI": [ 9893 ], + "LSR": [ "0" ], + "Q": [ 9820 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11218": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9454 ], + "LSR": [ "0" ], + "Q": [ 9466 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$11940": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9220 ], + "LSR": [ "0" ], + "Q": [ 9467 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12824": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9946 ], + "LSR": [ 9203 ], + "Q": [ 10 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12825": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 9203 ], + "Q": [ 11 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12826": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 9203 ], + "Q": [ 12 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12827": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 9203 ], + "Q": [ 13 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12828": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 9203 ], + "Q": [ 14 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12829": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 9203 ], + "Q": [ 15 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12830": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 9203 ], + "Q": [ 16 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12831": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:23" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 9203 ], + "Q": [ 17 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12832": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9920 ], + "LSR": [ "0" ], + "Q": [ 9208 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12833": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9921 ], + "LSR": [ "0" ], + "Q": [ 9209 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12834": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9933 ], + "LSR": [ "0" ], + "Q": [ 9206 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12835": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9934 ], + "LSR": [ "0" ], + "Q": [ 9207 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12836": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9936 ], + "LSR": [ "0" ], + "Q": [ 9210 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12837": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9937 ], + "LSR": [ "0" ], + "Q": [ 9211 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12838": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9939 ], + "LSR": [ "0" ], + "Q": [ 9216 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12839": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9940 ], + "LSR": [ "0" ], + "Q": [ 9217 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12840": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9941 ], + "LSR": [ "0" ], + "Q": [ 9214 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12841": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9942 ], + "LSR": [ "0" ], + "Q": [ 9215 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12842": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9924 ], + "LSR": [ "0" ], + "Q": [ 9218 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12843": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9925 ], + "LSR": [ "0" ], + "Q": [ 9219 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12844": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9927 ], + "LSR": [ "0" ], + "Q": [ 9204 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12845": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9928 ], + "LSR": [ "0" ], + "Q": [ 9205 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12846": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9930 ], + "LSR": [ "0" ], + "Q": [ 9212 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12847": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9931 ], + "LSR": [ "0" ], + "Q": [ 9213 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12848": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9258 ], + "LSR": [ "0" ], + "Q": [ 9947 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12849": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9088 ], + "LSR": [ "0" ], + "Q": [ 9948 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12850": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 8993 ], + "LSR": [ "0" ], + "Q": [ 9949 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12851": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9081 ], + "LSR": [ "0" ], + "Q": [ 9950 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12852": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9101 ], + "LSR": [ "0" ], + "Q": [ 9951 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12853": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9185 ], + "LSR": [ "0" ], + "Q": [ 9952 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12854": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9282 ], + "LSR": [ "0" ], + "Q": [ 9953 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12855": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 61 ], + "LSR": [ "0" ], + "Q": [ 9954 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12856": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9275 ], + "LSR": [ "0" ], + "Q": [ 9955 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12857": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9036 ], + "LSR": [ "0" ], + "Q": [ 9956 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12858": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9285 ], + "LSR": [ "0" ], + "Q": [ 9957 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12859": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9084 ], + "LSR": [ "0" ], + "Q": [ 9958 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12860": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9093 ], + "LSR": [ "0" ], + "Q": [ 9959 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12861": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9439 ], + "LSR": [ "0" ], + "Q": [ 9960 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12862": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9288 ], + "LSR": [ "0" ], + "Q": [ 9011 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12863": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ 9086 ], + "LSR": [ "0" ], + "Q": [ 9010 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12868": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:47" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9069 ], + "CLK": [ 2 ], + "DI": [ "1" ], + "LSR": [ 63 ], + "Q": [ 9260 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12869": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 63 ], + "CLK": [ 2 ], + "DI": [ 9465 ], + "LSR": [ "0" ], + "Q": [ 9228 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12870": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 63 ], + "CLK": [ 2 ], + "DI": [ 9541 ], + "LSR": [ "0" ], + "Q": [ 9132 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12871": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 63 ], + "CLK": [ 2 ], + "DI": [ 9863 ], + "LSR": [ "0" ], + "Q": [ 9192 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12872": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 63 ], + "CLK": [ 2 ], + "DI": [ 9727 ], + "LSR": [ "0" ], + "Q": [ 9227 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12873": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9889 ], + "LSR": [ "0" ], + "Q": [ 9257 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12874": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9892 ], + "LSR": [ "0" ], + "Q": [ 9850 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12875": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9194 ], + "LSR": [ "0" ], + "Q": [ 8992 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12876": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9460 ], + "LSR": [ "0" ], + "Q": [ 9369 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12877": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9887 ], + "LSR": [ "0" ], + "Q": [ 9159 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12878": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9445 ], + "LSR": [ "0" ], + "Q": [ 9446 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12879": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9449 ], + "LSR": [ "0" ], + "Q": [ 9392 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12880": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9550 ], + "LSR": [ "0" ], + "Q": [ 59 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12881": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9859 ], + "LSR": [ "0" ], + "Q": [ 9784 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12882": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9853 ], + "LSR": [ "0" ], + "Q": [ 9510 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12883": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9597 ], + "LSR": [ "0" ], + "Q": [ 9415 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12884": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9594 ], + "LSR": [ "0" ], + "Q": [ 9182 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12885": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9590 ], + "LSR": [ "0" ], + "Q": [ 9591 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12886": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9588 ], + "LSR": [ "0" ], + "Q": [ 9438 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12887": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9585 ], + "LSR": [ "0" ], + "Q": [ 9586 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12888": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9573 ], + "LSR": [ "0" ], + "Q": [ 9574 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12893": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9694 ], + "LSR": [ "0" ], + "Q": [ 9693 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12894": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9698 ], + "LSR": [ "0" ], + "Q": [ 9697 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12895": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9702 ], + "LSR": [ "0" ], + "Q": [ 9701 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12896": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9692 ], + "LSR": [ "0" ], + "Q": [ 9691 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12897": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9696 ], + "LSR": [ "0" ], + "Q": [ 9695 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12898": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9700 ], + "LSR": [ "0" ], + "Q": [ 9699 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12899": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9704 ], + "LSR": [ "0" ], + "Q": [ 9703 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12900": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9706 ], + "LSR": [ "0" ], + "Q": [ 9705 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12901": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9708 ], + "LSR": [ "0" ], + "Q": [ 9707 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12902": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9710 ], + "LSR": [ "0" ], + "Q": [ 9709 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12903": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9712 ], + "LSR": [ "0" ], + "Q": [ 9711 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12904": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9714 ], + "LSR": [ "0" ], + "Q": [ 9713 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12905": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9716 ], + "LSR": [ "0" ], + "Q": [ 9715 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12906": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9718 ], + "LSR": [ "0" ], + "Q": [ 9717 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12907": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9687 ], + "LSR": [ "0" ], + "Q": [ 9686 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12908": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9184 ], + "CLK": [ 2 ], + "DI": [ 9689 ], + "LSR": [ "0" ], + "Q": [ 9688 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12913": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:48" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9690 ], + "CLK": [ 2 ], + "DI": [ "0" ], + "LSR": [ 9075 ], + "Q": [ 9946 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12914": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9338 ], + "LSR": [ "0" ], + "Q": [ 9118 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12915": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9131 ], + "LSR": [ "0" ], + "Q": [ 9120 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12916": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9340 ], + "LSR": [ "0" ], + "Q": [ 9127 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12917": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9667 ], + "LSR": [ "0" ], + "Q": [ 9124 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12918": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9317 ], + "LSR": [ "0" ], + "Q": [ 9117 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12919": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9106 ], + "LSR": [ "0" ], + "Q": [ 9119 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12920": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9328 ], + "LSR": [ "0" ], + "Q": [ 9126 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12921": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9264 ], + "LSR": [ "0" ], + "Q": [ 9125 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12922": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9241 ], + "LSR": [ "0" ], + "Q": [ 9258 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12923": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9834 ], + "LSR": [ "0" ], + "Q": [ 9088 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12924": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 8976 ], + "LSR": [ "0" ], + "Q": [ 8993 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12925": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9353 ], + "LSR": [ "0" ], + "Q": [ 9081 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12926": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9143 ], + "LSR": [ "0" ], + "Q": [ 9101 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12927": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9745 ], + "LSR": [ "0" ], + "Q": [ 9185 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12928": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9376 ], + "LSR": [ "0" ], + "Q": [ 9282 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12929": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 25 ], + "LSR": [ "0" ], + "Q": [ 61 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12930": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9764 ], + "LSR": [ "0" ], + "Q": [ 9275 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12931": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9494 ], + "LSR": [ "0" ], + "Q": [ 9036 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12932": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9399 ], + "LSR": [ "0" ], + "Q": [ 9285 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12933": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9166 ], + "LSR": [ "0" ], + "Q": [ 9084 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12934": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9790 ], + "LSR": [ "0" ], + "Q": [ 9093 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12935": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9422 ], + "LSR": [ "0" ], + "Q": [ 9439 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12936": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9636 ], + "LSR": [ "0" ], + "Q": [ 9288 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$12937": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "saturn_core.v:189|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9812 ], + "LSR": [ "0" ], + "Q": [ 9086 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8954": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9877 ], + "LSR": [ "0" ], + "Q": [ 9077 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8955": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9670 ], + "LSR": [ "0" ], + "Q": [ 9078 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8956": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9669 ], + "LSR": [ "0" ], + "Q": [ 9075 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8957": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9668 ], + "LSR": [ "0" ], + "Q": [ 18 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8958": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9559 ], + "LSR": [ "0" ], + "Q": [ 9066 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8959": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9629 ], + "LSR": [ "0" ], + "Q": [ 9058 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8960": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9519 ], + "LSR": [ "0" ], + "Q": [ 9063 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8961": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9867 ], + "LSR": [ "0" ], + "Q": [ 9062 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8962": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9516 ], + "LSR": [ "0" ], + "Q": [ 9060 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8963": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9719 ], + "LSR": [ "0" ], + "Q": [ 9059 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8964": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9523 ], + "LSR": [ "0" ], + "Q": [ 9061 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8965": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9514 ], + "LSR": [ "0" ], + "Q": [ 56 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8966": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9232 ], + "LSR": [ "0" ], + "Q": [ 55 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8967": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9619 ], + "LSR": [ "0" ], + "Q": [ 9073 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8968": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9530 ], + "LSR": [ "0" ], + "Q": [ 53 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8969": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9225 ], + "LSR": [ "0" ], + "Q": [ 9072 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8970": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9659 ], + "LSR": [ "0" ], + "Q": [ 9065 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8971": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9870 ], + "LSR": [ "0" ], + "Q": [ 9080 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$8972": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9527 ], + "LSR": [ "0" ], + "Q": [ 9074 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9009": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9012 ], + "LSR": [ "0" ], + "Q": [ 9462 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9309": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9475 ], + "LSR": [ "0" ], + "Q": [ 9070 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9310": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9872 ], + "LSR": [ "0" ], + "Q": [ 9050 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9311": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9654 ], + "LSR": [ "0" ], + "Q": [ 9071 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9312": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9622 ], + "LSR": [ "0" ], + "Q": [ 52 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9313": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9609 ], + "LSR": [ "0" ], + "Q": [ 9069 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9314": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9671 ], + "LSR": [ "0" ], + "Q": [ 63 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9315": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9043 ], + "LSR": [ "0" ], + "Q": [ 9064 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9421": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9341 ], + "LSR": [ "0" ], + "Q": [ 9253 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9422": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9720 ], + "LSR": [ "0" ], + "Q": [ 9839 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9423": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9342 ], + "LSR": [ "0" ], + "Q": [ 8986 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9424": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9135 ], + "LSR": [ "0" ], + "Q": [ 9363 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9425": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9343 ], + "LSR": [ "0" ], + "Q": [ 9148 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9426": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9273 ], + "LSR": [ "0" ], + "Q": [ 9746 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9427": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9344 ], + "LSR": [ "0" ], + "Q": [ 9381 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9428": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9136 ], + "LSR": [ "0" ], + "Q": [ 32 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9429": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9345 ], + "LSR": [ "0" ], + "Q": [ 9774 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9430": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9037 ], + "LSR": [ "0" ], + "Q": [ 9504 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9431": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9346 ], + "LSR": [ "0" ], + "Q": [ 9410 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9432": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9137 ], + "LSR": [ "0" ], + "Q": [ 9171 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9433": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9347 ], + "LSR": [ "0" ], + "Q": [ 9795 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9434": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9528 ], + "LSR": [ "0" ], + "Q": [ 9436 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9435": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9512 ], + "LSR": [ "0" ], + "Q": [ 9641 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9436": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:8" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 9039 ], + "CLK": [ 2 ], + "DI": [ 9309 ], + "LSR": [ "0" ], + "Q": [ 9822 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9667": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9678 ], + "LSR": [ "0" ], + "Q": [ 41 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9668": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9737 ], + "LSR": [ "0" ], + "Q": [ 43 ] + } + }, + "$auto$simplemap.cc:420:simplemap_dff$9669": { + "hide_name": 1, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:2" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "DI": [ 9307 ], + "LSR": [ "0" ], + "Q": [ 42 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1219 ], + "A1": [ 1118 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 9961 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9962 ], + "S1": [ 9963 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9964 ], + "COUT": [ 9965 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9966 ], + "S1": [ 9967 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9965 ], + "COUT": [ 9968 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9969 ], + "S1": [ 9970 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9968 ], + "COUT": [ 9971 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9972 ], + "S1": [ 9973 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9971 ], + "COUT": [ 9974 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9975 ], + "S1": [ 9976 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9974 ], + "COUT": [ 9977 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9978 ], + "S1": [ 9979 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9977 ], + "COUT": [ 9980 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9981 ], + "S1": [ 9982 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9980 ], + "COUT": [ 9983 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9984 ], + "S1": [ 9985 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9983 ], + "COUT": [ 9986 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9987 ], + "S1": [ 9988 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9986 ], + "COUT": [ 9989 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9990 ], + "S1": [ 9991 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9989 ], + "COUT": [ 9992 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9993 ], + "S1": [ 9994 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1201 ], + "A1": [ 1000 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9961 ], + "COUT": [ 9995 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9996 ], + "S1": [ 9997 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9992 ], + "COUT": [ 9998 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9999 ], + "S1": [ 10000 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9998 ], + "COUT": [ 10001 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10002 ], + "S1": [ 10003 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10001 ], + "COUT": [ 10004 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10005 ], + "S1": [ 10006 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10004 ], + "COUT": [ 10007 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10008 ], + "S1": [ 10009 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10007 ], + "COUT": [ 10010 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10011 ], + "S1": [ 10012 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10010 ], + "COUT": [ 10013 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10014 ], + "S1": [ 10015 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10013 ], + "COUT": [ 10016 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10017 ], + "S1": [ 10018 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10016 ], + "COUT": [ 10019 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10020 ], + "S1": [ 10021 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10019 ], + "COUT": [ 10022 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10023 ], + "S1": [ 10024 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10022 ], + "COUT": [ 10025 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10026 ], + "S1": [ 10027 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1237 ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 9995 ], + "COUT": [ 10028 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10029 ], + "S1": [ 10030 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10025 ], + "COUT": [ 10031 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10032 ], + "S1": [ 10033 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10031 ], + "COUT": [ 10034 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10035 ], + "S1": [ 10036 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[54].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10034 ], + "COUT": [ 10037 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10038 ], + "S1": [ 10039 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[56].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10037 ], + "COUT": [ 10040 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10041 ], + "S1": [ 10042 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[58].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10040 ], + "COUT": [ 10043 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10044 ], + "S1": [ 10045 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[60].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10043 ], + "COUT": [ 970 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10046 ], + "S1": [ 10047 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10028 ], + "COUT": [ 10048 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10049 ], + "S1": [ 10050 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10048 ], + "COUT": [ 9964 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10051 ], + "S1": [ 10052 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9661 ], + "A1": [ 9013 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10053 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10054 ], + "S1": [ 10055 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9624 ], + "A1": [ 9000 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10056 ], + "COUT": [ 10057 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10058 ], + "S1": [ 10059 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9457 ], + "A1": [ 9001 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10057 ], + "COUT": [ 10060 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10061 ], + "S1": [ 10062 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9455 ], + "A1": [ 9002 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10060 ], + "COUT": [ 10063 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10064 ], + "S1": [ 10065 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9299 ], + "A1": [ 9003 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10063 ], + "COUT": [ 10066 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10067 ], + "S1": [ 10068 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9662 ], + "A1": [ 9004 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10066 ], + "COUT": [ 10069 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10070 ], + "S1": [ 10071 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9663 ], + "A1": [ 9005 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10069 ], + "COUT": [ 10072 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10073 ], + "S1": [ 10074 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9301 ], + "A1": [ 9006 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10072 ], + "COUT": [ 10075 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10076 ], + "S1": [ 10077 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9096 ], + "A1": [ 9007 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10075 ], + "COUT": [ 10078 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10079 ], + "S1": [ 10080 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9664 ], + "A1": [ 9008 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10078 ], + "COUT": [ 10081 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10082 ], + "S1": [ 10083 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9313 ], + "A1": [ 9009 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10081 ], + "COUT": [ 10084 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10085 ], + "S1": [ 10086 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8997 ], + "A1": [ 9031 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10053 ], + "COUT": [ 10087 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10088 ], + "S1": [ 10089 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9303 ], + "A1": [ 8995 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10084 ], + "COUT": [ 10090 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10091 ], + "S1": [ 10092 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10090 ], + "COUT": [ 10093 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10094 ], + "S1": [ 10095 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10093 ], + "COUT": [ 10096 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10097 ], + "S1": [ 10098 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10096 ], + "COUT": [ 10099 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10100 ], + "S1": [ 10101 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10099 ], + "COUT": [ 10102 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10103 ], + "S1": [ 10104 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10102 ], + "COUT": [ 10105 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10106 ], + "S1": [ 10107 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10105 ], + "COUT": [ 10108 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10109 ], + "S1": [ 10110 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10108 ], + "COUT": [ 10111 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10112 ], + "S1": [ 10113 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10111 ], + "COUT": [ 10114 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10115 ], + "S1": [ 10116 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10114 ], + "COUT": [ 10117 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10118 ], + "S1": [ 10119 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9015 ], + "A1": [ 8998 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10087 ], + "COUT": [ 10120 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10121 ], + "S1": [ 10122 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10117 ], + "COUT": [ 10123 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10124 ], + "S1": [ 10125 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10123 ], + "COUT": [ 10126 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10127 ], + "S1": [ 10128 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[54].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10126 ], + "COUT": [ 10129 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10130 ], + "S1": [ 10131 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[56].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10129 ], + "COUT": [ 10132 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10133 ], + "S1": [ 10134 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[58].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10132 ], + "COUT": [ 10135 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10136 ], + "S1": [ 10137 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[60].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10135 ], + "COUT": [ 978 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10138 ], + "S1": [ 10139 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9098 ], + "A1": [ 9017 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10120 ], + "COUT": [ 10140 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10141 ], + "S1": [ 10142 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8999 ], + "A1": [ 9019 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10140 ], + "COUT": [ 10056 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10143 ], + "S1": [ 10144 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9675 ], + "A1": [ 9014 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10145 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10146 ], + "S1": [ 10147 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9625 ], + "A1": [ 9021 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10148 ], + "COUT": [ 10149 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10150 ], + "S1": [ 10151 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9458 ], + "A1": [ 9022 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10149 ], + "COUT": [ 10152 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10153 ], + "S1": [ 10154 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9456 ], + "A1": [ 9023 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10152 ], + "COUT": [ 10155 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10156 ], + "S1": [ 10157 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9300 ], + "A1": [ 9024 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10155 ], + "COUT": [ 10158 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10159 ], + "S1": [ 10160 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9674 ], + "A1": [ 9025 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10158 ], + "COUT": [ 10161 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10162 ], + "S1": [ 10163 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9733 ], + "A1": [ 9026 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10161 ], + "COUT": [ 10164 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10165 ], + "S1": [ 10166 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9302 ], + "A1": [ 9027 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10164 ], + "COUT": [ 10167 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10168 ], + "S1": [ 10169 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9097 ], + "A1": [ 9028 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10167 ], + "COUT": [ 10170 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10171 ], + "S1": [ 10172 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9734 ], + "A1": [ 9029 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10170 ], + "COUT": [ 10173 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10174 ], + "S1": [ 10175 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9314 ], + "A1": [ 9030 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10173 ], + "COUT": [ 10176 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10177 ], + "S1": [ 10178 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9134 ], + "A1": [ 9032 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10145 ], + "COUT": [ 10179 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10180 ], + "S1": [ 10181 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9304 ], + "A1": [ 8996 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10176 ], + "COUT": [ 10182 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10183 ], + "S1": [ 10184 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10182 ], + "COUT": [ 10185 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10186 ], + "S1": [ 10187 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10185 ], + "COUT": [ 10188 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10189 ], + "S1": [ 10190 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10188 ], + "COUT": [ 10191 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10192 ], + "S1": [ 10193 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10191 ], + "COUT": [ 10194 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10195 ], + "S1": [ 10196 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10194 ], + "COUT": [ 10197 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10198 ], + "S1": [ 10199 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10197 ], + "COUT": [ 10200 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10201 ], + "S1": [ 10202 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10200 ], + "COUT": [ 10203 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10204 ], + "S1": [ 10205 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10203 ], + "COUT": [ 10206 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10207 ], + "S1": [ 10208 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10206 ], + "COUT": [ 10209 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10210 ], + "S1": [ 10211 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9016 ], + "A1": [ 9033 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10179 ], + "COUT": [ 10212 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10213 ], + "S1": [ 10214 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10209 ], + "COUT": [ 10215 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10216 ], + "S1": [ 10217 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10215 ], + "COUT": [ 10218 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10219 ], + "S1": [ 10220 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[54].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10218 ], + "COUT": [ 10221 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10222 ], + "S1": [ 10223 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[56].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10221 ], + "COUT": [ 10224 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10225 ], + "S1": [ 10226 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[58].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10224 ], + "COUT": [ 981 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10227 ], + "S1": [ 10228 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9099 ], + "A1": [ 9018 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10212 ], + "COUT": [ 10229 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10230 ], + "S1": [ 10231 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9739 ], + "A1": [ 9020 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10229 ], + "COUT": [ 10148 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10232 ], + "S1": [ 10233 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1165 ], + "A1": [ 1082 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10234 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10235 ], + "S1": [ 10236 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 965 ], + "A1": [ 1155 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10237 ], + "COUT": [ 10238 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10239 ], + "S1": [ 10240 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 969 ], + "A1": [ 1267 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10238 ], + "COUT": [ 10241 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10242 ], + "S1": [ 10243 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1265 ], + "A1": [ 1266 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10241 ], + "COUT": [ 10244 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10245 ], + "S1": [ 10246 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 964 ], + "A1": [ 1154 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10244 ], + "COUT": [ 10247 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10248 ], + "S1": [ 10249 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1027 ], + "A1": [ 1030 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10247 ], + "COUT": [ 10250 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10251 ], + "S1": [ 10252 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1173 ], + "A1": [ 1028 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10250 ], + "COUT": [ 10253 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10254 ], + "S1": [ 10255 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1090 ], + "A1": [ 1087 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10253 ], + "COUT": [ 10256 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10257 ], + "S1": [ 10258 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1029 ], + "A1": [ 1080 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10256 ], + "COUT": [ 10259 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10260 ], + "S1": [ 10261 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1304 ], + "A1": [ 1305 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10259 ], + "COUT": [ 10262 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10263 ], + "S1": [ 10264 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1310 ], + "A1": [ 1307 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10262 ], + "COUT": [ 10265 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10266 ], + "S1": [ 10267 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1156 ], + "A1": [ 966 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10234 ], + "COUT": [ 10268 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10269 ], + "S1": [ 10270 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1306 ], + "A1": [ 1033 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10265 ], + "COUT": [ 10271 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10272 ], + "S1": [ 10273 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10271 ], + "COUT": [ 10274 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10275 ], + "S1": [ 10276 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10274 ], + "COUT": [ 10277 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10278 ], + "S1": [ 10279 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10277 ], + "COUT": [ 10280 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10281 ], + "S1": [ 10282 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10280 ], + "COUT": [ 10283 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10284 ], + "S1": [ 10285 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10283 ], + "COUT": [ 10286 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10287 ], + "S1": [ 10288 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10286 ], + "COUT": [ 10289 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10290 ], + "S1": [ 10291 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10289 ], + "COUT": [ 10292 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10293 ], + "S1": [ 10294 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10292 ], + "COUT": [ 10295 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10296 ], + "S1": [ 10297 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10295 ], + "COUT": [ 10298 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10299 ], + "S1": [ 10300 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1170 ], + "A1": [ 1081 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10268 ], + "COUT": [ 10301 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10302 ], + "S1": [ 10303 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10298 ], + "COUT": [ 10304 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10305 ], + "S1": [ 10306 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10304 ], + "COUT": [ 10307 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10308 ], + "S1": [ 10309 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[54].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10307 ], + "COUT": [ 10310 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10311 ], + "S1": [ 10312 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[56].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10310 ], + "COUT": [ 10313 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10314 ], + "S1": [ 10315 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[58].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10313 ], + "COUT": [ 962 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10316 ], + "S1": [ 10317 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 940 ], + "A1": [ 1264 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10301 ], + "COUT": [ 10318 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10319 ], + "S1": [ 10320 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1079 ], + "A1": [ 963 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10318 ], + "COUT": [ 10237 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10321 ], + "S1": [ 10322 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1714 ], + "A1": [ 1775 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10323 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10324 ], + "S1": [ 10325 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 933 ], + "A1": [ 1531 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10326 ], + "COUT": [ 10327 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10328 ], + "S1": [ 10329 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1741 ], + "A1": [ 1507 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10327 ], + "COUT": [ 10330 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10331 ], + "S1": [ 10332 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1515 ], + "A1": [ 1727 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10330 ], + "COUT": [ 10333 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10334 ], + "S1": [ 10335 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1584 ], + "A1": [ 1661 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10333 ], + "COUT": [ 10336 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10337 ], + "S1": [ 10338 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1499 ], + "A1": [ 1653 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10336 ], + "COUT": [ 10339 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10340 ], + "S1": [ 10341 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1592 ], + "A1": [ 1523 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10339 ], + "COUT": [ 10342 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10343 ], + "S1": [ 10344 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1576 ], + "A1": [ 1669 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10342 ], + "COUT": [ 10345 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10346 ], + "S1": [ 10347 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1685 ], + "A1": [ 1645 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10345 ], + "COUT": [ 10348 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10349 ], + "S1": [ 10350 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1600 ], + "A1": [ 1608 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10348 ], + "COUT": [ 10351 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10352 ], + "S1": [ 10353 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1637 ], + "A1": [ 1568 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10351 ], + "COUT": [ 10354 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10355 ], + "S1": [ 10356 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1791 ], + "A1": [ 1767 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10323 ], + "COUT": [ 10357 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10358 ], + "S1": [ 10359 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1560 ], + "A1": [ 1677 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10354 ], + "COUT": [ 10360 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10361 ], + "S1": [ 10362 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10360 ], + "COUT": [ 10363 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10364 ], + "S1": [ 10365 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10363 ], + "COUT": [ 10366 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10367 ], + "S1": [ 10368 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10366 ], + "COUT": [ 10369 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10370 ], + "S1": [ 10371 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10369 ], + "COUT": [ 10372 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10373 ], + "S1": [ 10374 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10372 ], + "COUT": [ 10375 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10376 ], + "S1": [ 10377 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10375 ], + "COUT": [ 10378 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10379 ], + "S1": [ 10380 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10378 ], + "COUT": [ 10381 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10382 ], + "S1": [ 10383 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10381 ], + "COUT": [ 10384 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10385 ], + "S1": [ 10386 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10384 ], + "COUT": [ 10387 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10388 ], + "S1": [ 10389 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 938 ], + "A1": [ 1690 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10357 ], + "COUT": [ 10390 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10391 ], + "S1": [ 10392 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10387 ], + "COUT": [ 10393 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10394 ], + "S1": [ 10395 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10393 ], + "COUT": [ 10396 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10397 ], + "S1": [ 10398 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[54].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10396 ], + "COUT": [ 10399 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10400 ], + "S1": [ 10401 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[56].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10399 ], + "COUT": [ 936 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10402 ], + "S1": [ 10403 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 937 ], + "A1": [ 1745 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10390 ], + "COUT": [ 10404 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10405 ], + "S1": [ 10406 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1736 ], + "A1": [ 1783 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10404 ], + "COUT": [ 10326 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10407 ], + "S1": [ 10408 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1700 ], + "A1": [ 1746 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10409 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10410 ], + "S1": [ 10411 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 913 ], + "A1": [ 924 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10412 ], + "COUT": [ 10413 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10414 ], + "S1": [ 10415 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1798 ], + "A1": [ 915 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10413 ], + "COUT": [ 10416 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10417 ], + "S1": [ 10418 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 916 ], + "A1": [ 1855 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10416 ], + "COUT": [ 10419 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10420 ], + "S1": [ 10421 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1536 ], + "A1": [ 1613 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10419 ], + "COUT": [ 10422 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10423 ], + "S1": [ 10424 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 914 ], + "A1": [ 1612 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10422 ], + "COUT": [ 10425 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10426 ], + "S1": [ 10427 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1537 ], + "A1": [ 921 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10425 ], + "COUT": [ 10428 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10429 ], + "S1": [ 10430 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1535 ], + "A1": [ 1614 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10428 ], + "COUT": [ 10431 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10432 ], + "S1": [ 10433 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1628 ], + "A1": [ 1611 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10431 ], + "COUT": [ 10434 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10435 ], + "S1": [ 10436 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1551 ], + "A1": [ 1554 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10434 ], + "COUT": [ 10437 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10438 ], + "S1": [ 10439 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1631 ], + "A1": [ 1546 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10437 ], + "COUT": [ 10440 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10441 ], + "S1": [ 10442 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 888 ], + "A1": [ 887 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10409 ], + "COUT": [ 10443 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10444 ], + "S1": [ 10445 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1534 ], + "A1": [ 1623 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10440 ], + "COUT": [ 10446 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10447 ], + "S1": [ 10448 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10446 ], + "COUT": [ 10449 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10450 ], + "S1": [ 10451 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10449 ], + "COUT": [ 10452 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10453 ], + "S1": [ 10454 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10452 ], + "COUT": [ 10455 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10456 ], + "S1": [ 10457 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10455 ], + "COUT": [ 10458 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10459 ], + "S1": [ 10460 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10458 ], + "COUT": [ 10461 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10462 ], + "S1": [ 10463 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10461 ], + "COUT": [ 10464 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10465 ], + "S1": [ 10466 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10464 ], + "COUT": [ 10467 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10468 ], + "S1": [ 10469 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10467 ], + "COUT": [ 10470 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10471 ], + "S1": [ 10472 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10470 ], + "COUT": [ 10473 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10474 ], + "S1": [ 10475 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1705 ], + "A1": [ 1829 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10443 ], + "COUT": [ 10476 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10477 ], + "S1": [ 10478 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10473 ], + "COUT": [ 10479 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10480 ], + "S1": [ 10481 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10479 ], + "COUT": [ 10482 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10483 ], + "S1": [ 10484 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[54].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10482 ], + "COUT": [ 10485 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10486 ], + "S1": [ 10487 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[56].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10485 ], + "COUT": [ 912 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10488 ], + "S1": [ 10489 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1897 ], + "A1": [ 1888 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10476 ], + "COUT": [ 10490 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10491 ], + "S1": [ 10492 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1858 ], + "A1": [ 1747 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10490 ], + "COUT": [ 10412 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10493 ], + "S1": [ 10494 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1836 ], + "A1": [ 881 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10495 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10496 ], + "S1": [ 10497 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1801 ], + "A1": [ 1802 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10498 ], + "COUT": [ 10499 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10500 ], + "S1": [ 10501 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 867 ], + "A1": [ 1850 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10499 ], + "COUT": [ 10502 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10503 ], + "S1": [ 10504 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 865 ], + "A1": [ 1833 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10502 ], + "COUT": [ 10505 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10506 ], + "S1": [ 10507 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2059 ], + "A1": [ 1867 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10505 ], + "COUT": [ 10508 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10509 ], + "S1": [ 10510 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1868 ], + "A1": [ 1878 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10508 ], + "COUT": [ 10511 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10512 ], + "S1": [ 10513 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2326 ], + "A1": [ 866 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10511 ], + "COUT": [ 10514 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10515 ], + "S1": [ 10516 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 864 ], + "A1": [ 1845 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10514 ], + "COUT": [ 10517 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10518 ], + "S1": [ 10519 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2277 ], + "A1": [ 1821 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10517 ], + "COUT": [ 10520 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10521 ], + "S1": [ 10522 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1883 ], + "A1": [ 1912 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10520 ], + "COUT": [ 10523 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10524 ], + "S1": [ 10525 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1993 ], + "A1": [ 1804 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10523 ], + "COUT": [ 10526 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10527 ], + "S1": [ 10528 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2013 ], + "A1": [ 860 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10495 ], + "COUT": [ 10529 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10530 ], + "S1": [ 10531 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2246 ], + "A1": [ 1813 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10526 ], + "COUT": [ 10532 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10533 ], + "S1": [ 10534 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10532 ], + "COUT": [ 10535 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10536 ], + "S1": [ 10537 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10535 ], + "COUT": [ 10538 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10539 ], + "S1": [ 10540 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10538 ], + "COUT": [ 10541 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10542 ], + "S1": [ 10543 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10541 ], + "COUT": [ 10544 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10545 ], + "S1": [ 10546 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10544 ], + "COUT": [ 10547 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10548 ], + "S1": [ 10549 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10547 ], + "COUT": [ 10550 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10551 ], + "S1": [ 10552 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10550 ], + "COUT": [ 10553 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10554 ], + "S1": [ 10555 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10553 ], + "COUT": [ 10556 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10557 ], + "S1": [ 10558 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10556 ], + "COUT": [ 10559 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10560 ], + "S1": [ 10561 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1834 ], + "A1": [ 1803 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10529 ], + "COUT": [ 10562 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10563 ], + "S1": [ 10564 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10559 ], + "COUT": [ 10565 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10566 ], + "S1": [ 10567 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10565 ], + "COUT": [ 10568 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10569 ], + "S1": [ 10570 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[54].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10568 ], + "COUT": [ 863 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10571 ], + "S1": [ 10572 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1869 ], + "A1": [ 1866 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10562 ], + "COUT": [ 10573 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10574 ], + "S1": [ 10575 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1835 ], + "A1": [ 876 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10573 ], + "COUT": [ 10498 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10576 ], + "S1": [ 10577 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2132 ], + "A1": [ 2216 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10578 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10579 ], + "S1": [ 10580 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2496 ], + "A1": [ 831 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10581 ], + "COUT": [ 10582 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10583 ], + "S1": [ 10584 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2406 ], + "A1": [ 2534 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10582 ], + "COUT": [ 10585 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10586 ], + "S1": [ 10587 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2529 ], + "A1": [ 840 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10585 ], + "COUT": [ 10588 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10589 ], + "S1": [ 10590 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1999 ], + "A1": [ 2476 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10588 ], + "COUT": [ 10591 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10592 ], + "S1": [ 10593 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2198 ], + "A1": [ 2131 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10591 ], + "COUT": [ 10594 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10595 ], + "S1": [ 10596 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2295 ], + "A1": [ 1997 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10594 ], + "COUT": [ 10597 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10598 ], + "S1": [ 10599 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1998 ], + "A1": [ 2330 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10597 ], + "COUT": [ 10600 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10601 ], + "S1": [ 10602 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2217 ], + "A1": [ 2164 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10600 ], + "COUT": [ 10603 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10604 ], + "S1": [ 10605 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 842 ], + "A1": [ 841 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10603 ], + "COUT": [ 10606 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10607 ], + "S1": [ 10608 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1959 ], + "A1": [ 2290 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10606 ], + "COUT": [ 10609 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10610 ], + "S1": [ 10611 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1996 ], + "A1": [ 839 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10578 ], + "COUT": [ 10612 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10613 ], + "S1": [ 10614 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2215 ], + "A1": [ 2214 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10609 ], + "COUT": [ 10615 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10616 ], + "S1": [ 10617 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10615 ], + "COUT": [ 10618 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10619 ], + "S1": [ 10620 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10618 ], + "COUT": [ 10621 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10622 ], + "S1": [ 10623 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10621 ], + "COUT": [ 10624 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10625 ], + "S1": [ 10626 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10624 ], + "COUT": [ 10627 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10628 ], + "S1": [ 10629 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10627 ], + "COUT": [ 10630 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10631 ], + "S1": [ 10632 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10630 ], + "COUT": [ 10633 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10634 ], + "S1": [ 10635 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10633 ], + "COUT": [ 10636 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10637 ], + "S1": [ 10638 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10636 ], + "COUT": [ 10639 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10640 ], + "S1": [ 10641 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10639 ], + "COUT": [ 10642 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10643 ], + "S1": [ 10644 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2111 ], + "A1": [ 2163 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10612 ], + "COUT": [ 10645 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10646 ], + "S1": [ 10647 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10642 ], + "COUT": [ 10648 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10649 ], + "S1": [ 10650 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10648 ], + "COUT": [ 10651 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10652 ], + "S1": [ 10653 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[54].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10651 ], + "COUT": [ 834 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10654 ], + "S1": [ 10655 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2110 ], + "A1": [ 2072 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10645 ], + "COUT": [ 10656 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10657 ], + "S1": [ 10658 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2436 ], + "A1": [ 2077 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10656 ], + "COUT": [ 10581 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10659 ], + "S1": [ 10660 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2387 ], + "A1": [ 2614 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10661 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10662 ], + "S1": [ 10663 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2615 ], + "A1": [ 821 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10664 ], + "COUT": [ 10665 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10666 ], + "S1": [ 10667 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2713 ], + "A1": [ 2625 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10665 ], + "COUT": [ 10668 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10669 ], + "S1": [ 10670 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2731 ], + "A1": [ 2384 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10668 ], + "COUT": [ 10671 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10672 ], + "S1": [ 10673 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 823 ], + "A1": [ 2685 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10671 ], + "COUT": [ 10674 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10675 ], + "S1": [ 10676 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2655 ], + "A1": [ 2442 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10674 ], + "COUT": [ 10677 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10678 ], + "S1": [ 10679 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 824 ], + "A1": [ 796 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10677 ], + "COUT": [ 10680 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10681 ], + "S1": [ 10682 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 797 ], + "A1": [ 827 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10680 ], + "COUT": [ 10683 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10684 ], + "S1": [ 10685 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2354 ], + "A1": [ 2362 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10683 ], + "COUT": [ 10686 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10687 ], + "S1": [ 10688 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2359 ], + "A1": [ 2344 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10686 ], + "COUT": [ 10689 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10690 ], + "S1": [ 10691 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2592 ], + "A1": [ 2342 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10689 ], + "COUT": [ 10692 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10693 ], + "S1": [ 10694 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2443 ], + "A1": [ 2624 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10661 ], + "COUT": [ 10695 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10696 ], + "S1": [ 10697 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2720 ], + "A1": [ 2343 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10692 ], + "COUT": [ 10698 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10699 ], + "S1": [ 10700 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10698 ], + "COUT": [ 10701 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10702 ], + "S1": [ 10703 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10701 ], + "COUT": [ 10704 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10705 ], + "S1": [ 10706 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10704 ], + "COUT": [ 10707 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10708 ], + "S1": [ 10709 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10707 ], + "COUT": [ 10710 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10711 ], + "S1": [ 10712 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10710 ], + "COUT": [ 10713 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10714 ], + "S1": [ 10715 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10713 ], + "COUT": [ 10716 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10717 ], + "S1": [ 10718 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10716 ], + "COUT": [ 10719 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10720 ], + "S1": [ 10721 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10719 ], + "COUT": [ 10722 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10723 ], + "S1": [ 10724 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10722 ], + "COUT": [ 10725 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10726 ], + "S1": [ 10727 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2385 ], + "A1": [ 2607 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10695 ], + "COUT": [ 10728 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10729 ], + "S1": [ 10730 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10725 ], + "COUT": [ 10731 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10732 ], + "S1": [ 10733 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10731 ], + "COUT": [ 820 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10734 ], + "S1": [ 10735 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 822 ], + "A1": [ 2345 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10728 ], + "COUT": [ 10736 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10737 ], + "S1": [ 10738 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2386 ], + "A1": [ 2566 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10736 ], + "COUT": [ 10664 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10739 ], + "S1": [ 10740 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2959 ], + "A1": [ 2838 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10741 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10742 ], + "S1": [ 10743 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2877 ], + "A1": [ 2824 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10744 ], + "COUT": [ 10745 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10746 ], + "S1": [ 10747 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2745 ], + "A1": [ 2881 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10745 ], + "COUT": [ 10748 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10749 ], + "S1": [ 10750 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2826 ], + "A1": [ 2955 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10748 ], + "COUT": [ 10751 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10752 ], + "S1": [ 10753 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2842 ], + "A1": [ 2664 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10751 ], + "COUT": [ 10754 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10755 ], + "S1": [ 10756 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2866 ], + "A1": [ 810 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10754 ], + "COUT": [ 10757 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10758 ], + "S1": [ 10759 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2741 ], + "A1": [ 2900 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10757 ], + "COUT": [ 10760 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10761 ], + "S1": [ 10762 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2749 ], + "A1": [ 2671 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10760 ], + "COUT": [ 10763 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10764 ], + "S1": [ 10765 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2947 ], + "A1": [ 2770 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10763 ], + "COUT": [ 10766 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10767 ], + "S1": [ 10768 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2943 ], + "A1": [ 2757 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10766 ], + "COUT": [ 10769 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10770 ], + "S1": [ 10771 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2803 ], + "A1": [ 2939 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10769 ], + "COUT": [ 10772 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10773 ], + "S1": [ 10774 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2834 ], + "A1": [ 2830 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10741 ], + "COUT": [ 10775 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10776 ], + "S1": [ 10777 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2806 ], + "A1": [ 2934 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10772 ], + "COUT": [ 10778 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10779 ], + "S1": [ 10780 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10778 ], + "COUT": [ 10781 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10782 ], + "S1": [ 10783 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10781 ], + "COUT": [ 10784 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10785 ], + "S1": [ 10786 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10784 ], + "COUT": [ 10787 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10788 ], + "S1": [ 10789 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10787 ], + "COUT": [ 10790 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10791 ], + "S1": [ 10792 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10790 ], + "COUT": [ 10793 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10794 ], + "S1": [ 10795 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10793 ], + "COUT": [ 10796 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10797 ], + "S1": [ 10798 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10796 ], + "COUT": [ 10799 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10800 ], + "S1": [ 10801 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10799 ], + "COUT": [ 10802 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10803 ], + "S1": [ 10804 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10802 ], + "COUT": [ 10805 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10806 ], + "S1": [ 10807 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2951 ], + "A1": [ 2799 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10775 ], + "COUT": [ 10808 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10809 ], + "S1": [ 10810 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10805 ], + "COUT": [ 10811 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10812 ], + "S1": [ 10813 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[52].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10811 ], + "COUT": [ 772 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10814 ], + "S1": [ 10815 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2870 ], + "A1": [ 2753 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10808 ], + "COUT": [ 10816 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10817 ], + "S1": [ 10818 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2963 ], + "A1": [ 769 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10816 ], + "COUT": [ 10744 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10819 ], + "S1": [ 10820 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2906 ], + "A1": [ 2794 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10821 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10822 ], + "S1": [ 10823 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2847 ], + "A1": [ 3309 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10824 ], + "COUT": [ 10825 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10826 ], + "S1": [ 10827 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 747 ], + "A1": [ 2856 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10825 ], + "COUT": [ 10828 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10829 ], + "S1": [ 10830 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2779 ], + "A1": [ 2905 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10828 ], + "COUT": [ 10831 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10832 ], + "S1": [ 10833 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 741 ], + "A1": [ 2846 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10831 ], + "COUT": [ 10834 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10835 ], + "S1": [ 10836 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2844 ], + "A1": [ 3024 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10834 ], + "COUT": [ 10837 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10838 ], + "S1": [ 10839 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 746 ], + "A1": [ 3325 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10837 ], + "COUT": [ 10840 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10841 ], + "S1": [ 10842 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 762 ], + "A1": [ 2861 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10840 ], + "COUT": [ 10843 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10844 ], + "S1": [ 10845 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2923 ], + "A1": [ 3045 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10843 ], + "COUT": [ 10846 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10847 ], + "S1": [ 10848 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2903 ], + "A1": [ 757 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10846 ], + "COUT": [ 10849 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10850 ], + "S1": [ 10851 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2778 ], + "A1": [ 3405 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10849 ], + "COUT": [ 10852 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10853 ], + "S1": [ 10854 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2789 ], + "A1": [ 2780 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10821 ], + "COUT": [ 10855 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10856 ], + "S1": [ 10857 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3421 ], + "A1": [ 3054 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10852 ], + "COUT": [ 10858 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10859 ], + "S1": [ 10860 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10858 ], + "COUT": [ 10861 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10862 ], + "S1": [ 10863 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10861 ], + "COUT": [ 10864 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10865 ], + "S1": [ 10866 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10864 ], + "COUT": [ 10867 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10868 ], + "S1": [ 10869 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10867 ], + "COUT": [ 10870 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10871 ], + "S1": [ 10872 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10870 ], + "COUT": [ 10873 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10874 ], + "S1": [ 10875 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10873 ], + "COUT": [ 10876 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10877 ], + "S1": [ 10878 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10876 ], + "COUT": [ 10879 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10880 ], + "S1": [ 10881 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10879 ], + "COUT": [ 10882 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10883 ], + "S1": [ 10884 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10882 ], + "COUT": [ 10885 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10886 ], + "S1": [ 10887 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2904 ], + "A1": [ 2777 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10855 ], + "COUT": [ 10888 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10889 ], + "S1": [ 10890 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10885 ], + "COUT": [ 744 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10891 ], + "S1": [ 10892 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2845 ], + "A1": [ 748 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10888 ], + "COUT": [ 10893 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10894 ], + "S1": [ 10895 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2915 ], + "A1": [ 745 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10893 ], + "COUT": [ 10824 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10896 ], + "S1": [ 10897 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3064 ], + "A1": [ 3201 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10898 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10899 ], + "S1": [ 10900 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3271 ], + "A1": [ 3270 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10901 ], + "COUT": [ 10902 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10903 ], + "S1": [ 10904 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3066 ], + "A1": [ 3277 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10902 ], + "COUT": [ 10905 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10906 ], + "S1": [ 10907 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3269 ], + "A1": [ 3065 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10905 ], + "COUT": [ 10908 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10909 ], + "S1": [ 10910 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 712 ], + "A1": [ 714 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10908 ], + "COUT": [ 10911 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10912 ], + "S1": [ 10913 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3272 ], + "A1": [ 715 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10911 ], + "COUT": [ 10914 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10915 ], + "S1": [ 10916 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 720 ], + "A1": [ 3280 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10914 ], + "COUT": [ 10917 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10918 ], + "S1": [ 10919 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 723 ], + "A1": [ 3560 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10917 ], + "COUT": [ 10920 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10921 ], + "S1": [ 10922 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3384 ], + "A1": [ 3510 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10920 ], + "COUT": [ 10923 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10924 ], + "S1": [ 10925 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 689 ], + "A1": [ 713 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10923 ], + "COUT": [ 10926 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10927 ], + "S1": [ 10928 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3375 ], + "A1": [ 3389 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10926 ], + "COUT": [ 10929 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10930 ], + "S1": [ 10931 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3555 ], + "A1": [ 3192 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10898 ], + "COUT": [ 10932 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10933 ], + "S1": [ 10934 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3374 ], + "A1": [ 3505 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10929 ], + "COUT": [ 10935 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10936 ], + "S1": [ 10937 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10935 ], + "COUT": [ 10938 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10939 ], + "S1": [ 10940 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10938 ], + "COUT": [ 10941 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10942 ], + "S1": [ 10943 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10941 ], + "COUT": [ 10944 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10945 ], + "S1": [ 10946 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10944 ], + "COUT": [ 10947 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10948 ], + "S1": [ 10949 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10947 ], + "COUT": [ 10950 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10951 ], + "S1": [ 10952 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10950 ], + "COUT": [ 10953 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10954 ], + "S1": [ 10955 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10953 ], + "COUT": [ 10956 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10957 ], + "S1": [ 10958 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10956 ], + "COUT": [ 10959 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10960 ], + "S1": [ 10961 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10959 ], + "COUT": [ 10962 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10963 ], + "S1": [ 10964 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3076 ], + "A1": [ 3084 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10932 ], + "COUT": [ 10965 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10966 ], + "S1": [ 10967 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[50].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10962 ], + "COUT": [ 711 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10968 ], + "S1": [ 10969 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3081 ], + "A1": [ 3191 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10965 ], + "COUT": [ 10970 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10971 ], + "S1": [ 10972 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3067 ], + "A1": [ 3206 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10970 ], + "COUT": [ 10901 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10973 ], + "S1": [ 10974 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3652 ], + "A1": [ 3542 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 10975 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10976 ], + "S1": [ 10977 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 679 ], + "A1": [ 3653 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10978 ], + "COUT": [ 10979 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10980 ], + "S1": [ 10981 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3568 ], + "A1": [ 3530 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10979 ], + "COUT": [ 10982 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10983 ], + "S1": [ 10984 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3531 ], + "A1": [ 3821 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10982 ], + "COUT": [ 10985 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10986 ], + "S1": [ 10987 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 670 ], + "A1": [ 3691 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10985 ], + "COUT": [ 10988 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10989 ], + "S1": [ 10990 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3616 ], + "A1": [ 3547 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10988 ], + "COUT": [ 10991 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10992 ], + "S1": [ 10993 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3639 ], + "A1": [ 3617 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10991 ], + "COUT": [ 10994 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10995 ], + "S1": [ 10996 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3647 ], + "A1": [ 3690 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10994 ], + "COUT": [ 10997 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 10998 ], + "S1": [ 10999 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 644 ], + "A1": [ 3724 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10997 ], + "COUT": [ 11000 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11001 ], + "S1": [ 11002 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 667 ], + "A1": [ 668 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11000 ], + "COUT": [ 11003 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11004 ], + "S1": [ 11005 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3581 ], + "A1": [ 3603 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11003 ], + "COUT": [ 11006 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11007 ], + "S1": [ 11008 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3533 ], + "A1": [ 3532 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 10975 ], + "COUT": [ 11009 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11010 ], + "S1": [ 11011 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3611 ], + "A1": [ 645 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11006 ], + "COUT": [ 11012 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11013 ], + "S1": [ 11014 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11012 ], + "COUT": [ 11015 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11016 ], + "S1": [ 11017 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11015 ], + "COUT": [ 11018 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11019 ], + "S1": [ 11020 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11018 ], + "COUT": [ 11021 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11022 ], + "S1": [ 11023 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11021 ], + "COUT": [ 11024 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11025 ], + "S1": [ 11026 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11024 ], + "COUT": [ 11027 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11028 ], + "S1": [ 11029 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11027 ], + "COUT": [ 11030 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11031 ], + "S1": [ 11032 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11030 ], + "COUT": [ 11033 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11034 ], + "S1": [ 11035 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11033 ], + "COUT": [ 11036 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11037 ], + "S1": [ 11038 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11036 ], + "COUT": [ 666 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11039 ], + "S1": [ 11040 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3791 ], + "A1": [ 669 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11009 ], + "COUT": [ 11041 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11042 ], + "S1": [ 11043 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3792 ], + "A1": [ 3757 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11041 ], + "COUT": [ 11044 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11045 ], + "S1": [ 11046 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3786 ], + "A1": [ 3580 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11044 ], + "COUT": [ 10978 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11047 ], + "S1": [ 11048 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3942 ], + "A1": [ 4015 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11049 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11050 ], + "S1": [ 11051 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3801 ], + "A1": [ 3999 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11052 ], + "COUT": [ 11053 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11054 ], + "S1": [ 11055 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 654 ], + "A1": [ 3700 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11053 ], + "COUT": [ 11056 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11057 ], + "S1": [ 11058 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 659 ], + "A1": [ 3806 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11056 ], + "COUT": [ 11059 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11060 ], + "S1": [ 11061 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3766 ], + "A1": [ 3916 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11059 ], + "COUT": [ 11062 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11063 ], + "S1": [ 11064 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3905 ], + "A1": [ 3707 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11062 ], + "COUT": [ 11065 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11066 ], + "S1": [ 11067 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3633 ], + "A1": [ 3850 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11065 ], + "COUT": [ 11068 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11069 ], + "S1": [ 11070 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3626 ], + "A1": [ 3892 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11068 ], + "COUT": [ 11071 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11072 ], + "S1": [ 11073 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3829 ], + "A1": [ 3833 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11071 ], + "COUT": [ 11074 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11075 ], + "S1": [ 11076 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3738 ], + "A1": [ 3733 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11074 ], + "COUT": [ 11077 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11078 ], + "S1": [ 11079 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3882 ], + "A1": [ 3590 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11077 ], + "COUT": [ 11080 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11081 ], + "S1": [ 11082 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 634 ], + "A1": [ 3667 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11049 ], + "COUT": [ 11083 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11084 ], + "S1": [ 11085 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3595 ], + "A1": [ 3837 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11080 ], + "COUT": [ 11086 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11087 ], + "S1": [ 11088 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11086 ], + "COUT": [ 11089 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11090 ], + "S1": [ 11091 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11089 ], + "COUT": [ 11092 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11093 ], + "S1": [ 11094 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11092 ], + "COUT": [ 11095 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11096 ], + "S1": [ 11097 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11095 ], + "COUT": [ 11098 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11099 ], + "S1": [ 11100 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11098 ], + "COUT": [ 11101 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11102 ], + "S1": [ 11103 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11101 ], + "COUT": [ 11104 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11105 ], + "S1": [ 11106 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11104 ], + "COUT": [ 11107 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11108 ], + "S1": [ 11109 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11107 ], + "COUT": [ 11110 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11111 ], + "S1": [ 11112 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[48].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11110 ], + "COUT": [ 637 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11113 ], + "S1": [ 11114 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3913 ], + "A1": [ 3662 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11083 ], + "COUT": [ 11115 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11116 ], + "S1": [ 11117 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3958 ], + "A1": [ 3825 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11115 ], + "COUT": [ 11118 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11119 ], + "S1": [ 11120 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3771 ], + "A1": [ 4023 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11118 ], + "COUT": [ 11052 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11121 ], + "S1": [ 11122 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3920 ], + "A1": [ 4167 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11123 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11124 ], + "S1": [ 11125 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3980 ], + "A1": [ 3977 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11126 ], + "COUT": [ 11127 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11128 ], + "S1": [ 11129 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3923 ], + "A1": [ 3862 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11127 ], + "COUT": [ 11130 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11131 ], + "S1": [ 11132 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3989 ], + "A1": [ 3994 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11130 ], + "COUT": [ 11133 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11134 ], + "S1": [ 11135 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3861 ], + "A1": [ 3880 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11133 ], + "COUT": [ 11136 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11137 ], + "S1": [ 11138 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4068 ], + "A1": [ 4069 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11136 ], + "COUT": [ 11139 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11140 ], + "S1": [ 11141 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4317 ], + "A1": [ 4362 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11139 ], + "COUT": [ 11142 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11143 ], + "S1": [ 11144 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3978 ], + "A1": [ 3863 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11142 ], + "COUT": [ 11145 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11146 ], + "S1": [ 11147 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 613 ], + "A1": [ 614 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11145 ], + "COUT": [ 11148 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11149 ], + "S1": [ 11150 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 586 ], + "A1": [ 3932 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11148 ], + "COUT": [ 11151 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11152 ], + "S1": [ 11153 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3860 ], + "A1": [ 3937 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11151 ], + "COUT": [ 11154 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11155 ], + "S1": [ 11156 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 611 ], + "A1": [ 4168 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11123 ], + "COUT": [ 11157 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11158 ], + "S1": [ 11159 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3922 ], + "A1": [ 623 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11154 ], + "COUT": [ 11160 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11161 ], + "S1": [ 11162 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11160 ], + "COUT": [ 11163 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11164 ], + "S1": [ 11165 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11163 ], + "COUT": [ 11166 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11167 ], + "S1": [ 11168 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11166 ], + "COUT": [ 11169 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11170 ], + "S1": [ 11171 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11169 ], + "COUT": [ 11172 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11173 ], + "S1": [ 11174 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11172 ], + "COUT": [ 11175 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11176 ], + "S1": [ 11177 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11175 ], + "COUT": [ 11178 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11179 ], + "S1": [ 11180 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11178 ], + "COUT": [ 11181 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11182 ], + "S1": [ 11183 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11181 ], + "COUT": [ 610 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11184 ], + "S1": [ 11185 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3872 ], + "A1": [ 4147 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11157 ], + "COUT": [ 11186 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11187 ], + "S1": [ 11188 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 585 ], + "A1": [ 612 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11186 ], + "COUT": [ 11189 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11190 ], + "S1": [ 11191 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3921 ], + "A1": [ 3979 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11189 ], + "COUT": [ 11126 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11192 ], + "S1": [ 11193 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4229 ], + "A1": [ 4438 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11194 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11195 ], + "S1": [ 11196 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4177 ], + "A1": [ 4445 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11197 ], + "COUT": [ 11198 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11199 ], + "S1": [ 11200 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4375 ], + "A1": [ 4491 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11198 ], + "COUT": [ 11201 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11202 ], + "S1": [ 11203 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4182 ], + "A1": [ 4543 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11201 ], + "COUT": [ 11204 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11205 ], + "S1": [ 11206 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4085 ], + "A1": [ 4382 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11204 ], + "COUT": [ 11207 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11208 ], + "S1": [ 11209 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4480 ], + "A1": [ 4414 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11207 ], + "COUT": [ 11210 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11211 ], + "S1": [ 11212 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4615 ], + "A1": [ 4331 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11210 ], + "COUT": [ 11213 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11214 ], + "S1": [ 11215 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4498 ], + "A1": [ 4326 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11213 ], + "COUT": [ 11216 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11217 ], + "S1": [ 11218 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4282 ], + "A1": [ 595 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11216 ], + "COUT": [ 11219 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11220 ], + "S1": [ 11221 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4556 ], + "A1": [ 4234 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11219 ], + "COUT": [ 11222 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11223 ], + "S1": [ 11224 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4131 ], + "A1": [ 4604 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11222 ], + "COUT": [ 11225 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11226 ], + "S1": [ 11227 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4427 ], + "A1": [ 4593 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11194 ], + "COUT": [ 11228 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11229 ], + "S1": [ 11230 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4608 ], + "A1": [ 4277 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11225 ], + "COUT": [ 11231 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11232 ], + "S1": [ 11233 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11231 ], + "COUT": [ 11234 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11235 ], + "S1": [ 11236 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11234 ], + "COUT": [ 11237 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11238 ], + "S1": [ 11239 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11237 ], + "COUT": [ 11240 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11241 ], + "S1": [ 11242 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11240 ], + "COUT": [ 11243 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11244 ], + "S1": [ 11245 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11243 ], + "COUT": [ 11246 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11247 ], + "S1": [ 11248 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11246 ], + "COUT": [ 11249 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11250 ], + "S1": [ 11251 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11249 ], + "COUT": [ 11252 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11253 ], + "S1": [ 11254 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[46].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11252 ], + "COUT": [ 578 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11255 ], + "S1": [ 11256 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 600 ], + "A1": [ 4126 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11228 ], + "COUT": [ 11257 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11258 ], + "S1": [ 11259 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4619 ], + "A1": [ 575 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11257 ], + "COUT": [ 11260 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11261 ], + "S1": [ 11262 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4442 ], + "A1": [ 4078 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11260 ], + "COUT": [ 11197 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11263 ], + "S1": [ 11264 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4466 ], + "A1": [ 554 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11265 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11266 ], + "S1": [ 11267 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4461 ], + "A1": [ 568 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11268 ], + "COUT": [ 11269 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11270 ], + "S1": [ 11271 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4507 ], + "A1": [ 4450 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11269 ], + "COUT": [ 11272 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11273 ], + "S1": [ 11274 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 553 ], + "A1": [ 4523 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11272 ], + "COUT": [ 11275 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11276 ], + "S1": [ 11277 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4951 ], + "A1": [ 4518 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11275 ], + "COUT": [ 11278 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11279 ], + "S1": [ 11280 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4981 ], + "A1": [ 552 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11278 ], + "COUT": [ 11281 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11282 ], + "S1": [ 11283 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 526 ], + "A1": [ 4449 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11281 ], + "COUT": [ 11284 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11285 ], + "S1": [ 11286 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4452 ], + "A1": [ 4508 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11284 ], + "COUT": [ 11287 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11288 ], + "S1": [ 11289 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4930 ], + "A1": [ 4746 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11287 ], + "COUT": [ 11290 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11291 ], + "S1": [ 11292 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4946 ], + "A1": [ 525 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11290 ], + "COUT": [ 11293 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11294 ], + "S1": [ 11295 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4509 ], + "A1": [ 4564 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11293 ], + "COUT": [ 11296 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11297 ], + "S1": [ 11298 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4900 ], + "A1": [ 4832 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11265 ], + "COUT": [ 11299 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11300 ], + "S1": [ 11301 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4565 ], + "A1": [ 4563 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11296 ], + "COUT": [ 11302 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11303 ], + "S1": [ 11304 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11302 ], + "COUT": [ 11305 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11306 ], + "S1": [ 11307 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11305 ], + "COUT": [ 11308 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11309 ], + "S1": [ 11310 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11308 ], + "COUT": [ 11311 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11312 ], + "S1": [ 11313 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11311 ], + "COUT": [ 11314 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11315 ], + "S1": [ 11316 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11314 ], + "COUT": [ 11317 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11318 ], + "S1": [ 11319 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11317 ], + "COUT": [ 11320 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11321 ], + "S1": [ 11322 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11320 ], + "COUT": [ 550 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11323 ], + "S1": [ 11324 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4566 ], + "A1": [ 4848 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11299 ], + "COUT": [ 11325 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11326 ], + "S1": [ 11327 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4579 ], + "A1": [ 551 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11325 ], + "COUT": [ 11328 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11329 ], + "S1": [ 11330 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 563 ], + "A1": [ 4451 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11328 ], + "COUT": [ 11268 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11331 ], + "S1": [ 11332 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5189 ], + "A1": [ 5106 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11333 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11334 ], + "S1": [ 11335 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 510 ], + "A1": [ 4764 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11336 ], + "COUT": [ 11337 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11338 ], + "S1": [ 11339 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5130 ], + "A1": [ 540 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11337 ], + "COUT": [ 11340 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11341 ], + "S1": [ 11342 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5000 ], + "A1": [ 515 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11340 ], + "COUT": [ 11343 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11344 ], + "S1": [ 11345 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5137 ], + "A1": [ 4669 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11343 ], + "COUT": [ 11346 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11347 ], + "S1": [ 11348 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4960 ], + "A1": [ 4965 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11346 ], + "COUT": [ 11349 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11350 ], + "S1": [ 11351 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5074 ], + "A1": [ 5066 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11349 ], + "COUT": [ 11352 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11353 ], + "S1": [ 11354 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4710 ], + "A1": [ 535 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11352 ], + "COUT": [ 11355 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11356 ], + "S1": [ 11357 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4909 ], + "A1": [ 4715 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11355 ], + "COUT": [ 11358 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11359 ], + "S1": [ 11360 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4914 ], + "A1": [ 5125 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11358 ], + "COUT": [ 11361 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11362 ], + "S1": [ 11363 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5043 ], + "A1": [ 5004 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11361 ], + "COUT": [ 11364 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11365 ], + "S1": [ 11366 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5166 ], + "A1": [ 4811 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11333 ], + "COUT": [ 11367 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11368 ], + "S1": [ 11369 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4861 ], + "A1": [ 4662 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11364 ], + "COUT": [ 11370 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11371 ], + "S1": [ 11372 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11370 ], + "COUT": [ 11373 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11374 ], + "S1": [ 11375 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11373 ], + "COUT": [ 11376 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11377 ], + "S1": [ 11378 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11376 ], + "COUT": [ 11379 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11380 ], + "S1": [ 11381 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11379 ], + "COUT": [ 11382 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11383 ], + "S1": [ 11384 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11382 ], + "COUT": [ 11385 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11386 ], + "S1": [ 11387 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11385 ], + "COUT": [ 11388 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11389 ], + "S1": [ 11390 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[44].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11388 ], + "COUT": [ 518 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11391 ], + "S1": [ 11392 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5173 ], + "A1": [ 4816 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11367 ], + "COUT": [ 11393 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11394 ], + "S1": [ 11395 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5070 ], + "A1": [ 5078 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11393 ], + "COUT": [ 11396 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11397 ], + "S1": [ 11398 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5008 ], + "A1": [ 5018 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11396 ], + "COUT": [ 11336 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11399 ], + "S1": [ 11400 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5508 ], + "A1": [ 5082 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11401 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11402 ], + "S1": [ 11403 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5586 ], + "A1": [ 5081 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11404 ], + "COUT": [ 11405 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11406 ], + "S1": [ 11407 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5647 ], + "A1": [ 5098 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11405 ], + "COUT": [ 11408 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11409 ], + "S1": [ 11410 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 494 ], + "A1": [ 5446 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11408 ], + "COUT": [ 11411 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11412 ], + "S1": [ 11413 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5101 ], + "A1": [ 452 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11411 ], + "COUT": [ 11414 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11415 ], + "S1": [ 11416 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5155 ], + "A1": [ 451 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11414 ], + "COUT": [ 11417 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11418 ], + "S1": [ 11419 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5033 ], + "A1": [ 5023 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11417 ], + "COUT": [ 11420 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11421 ], + "S1": [ 11422 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5022 ], + "A1": [ 5084 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11420 ], + "COUT": [ 11423 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11424 ], + "S1": [ 11425 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5543 ], + "A1": [ 480 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11423 ], + "COUT": [ 11426 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11427 ], + "S1": [ 11428 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5394 ], + "A1": [ 5377 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11426 ], + "COUT": [ 11429 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11430 ], + "S1": [ 11431 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5021 ], + "A1": [ 477 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11429 ], + "COUT": [ 11432 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11433 ], + "S1": [ 11434 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5141 ], + "A1": [ 5083 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11401 ], + "COUT": [ 11435 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11436 ], + "S1": [ 11437 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5492 ], + "A1": [ 479 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11432 ], + "COUT": [ 11438 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11439 ], + "S1": [ 11440 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11438 ], + "COUT": [ 11441 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11442 ], + "S1": [ 11443 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11441 ], + "COUT": [ 11444 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11445 ], + "S1": [ 11446 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11444 ], + "COUT": [ 11447 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11448 ], + "S1": [ 11449 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11447 ], + "COUT": [ 11450 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11451 ], + "S1": [ 11452 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11450 ], + "COUT": [ 11453 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11454 ], + "S1": [ 11455 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11453 ], + "COUT": [ 476 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11456 ], + "S1": [ 11457 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5150 ], + "A1": [ 5140 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11435 ], + "COUT": [ 11458 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11459 ], + "S1": [ 11460 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5024 ], + "A1": [ 5038 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11458 ], + "COUT": [ 11461 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11462 ], + "S1": [ 11463 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 478 ], + "A1": [ 489 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11461 ], + "COUT": [ 11404 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11464 ], + "S1": [ 11465 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5415 ], + "A1": [ 5311 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11466 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11467 ], + "S1": [ 11468 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5547 ], + "A1": [ 5318 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11469 ], + "COUT": [ 11470 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11471 ], + "S1": [ 11472 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5557 ], + "A1": [ 5751 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11470 ], + "COUT": [ 11473 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11474 ], + "S1": [ 11475 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5554 ], + "A1": [ 5432 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11473 ], + "COUT": [ 11476 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11477 ], + "S1": [ 11478 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5241 ], + "A1": [ 5652 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11476 ], + "COUT": [ 11479 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11480 ], + "S1": [ 11481 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 466 ], + "A1": [ 5650 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11479 ], + "COUT": [ 11482 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11483 ], + "S1": [ 11484 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5232 ], + "A1": [ 5231 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11482 ], + "COUT": [ 11485 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11486 ], + "S1": [ 11487 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5246 ], + "A1": [ 461 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11485 ], + "COUT": [ 11488 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11489 ], + "S1": [ 11490 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5697 ], + "A1": [ 5310 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11488 ], + "COUT": [ 11491 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11492 ], + "S1": [ 11493 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 417 ], + "A1": [ 416 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11491 ], + "COUT": [ 11494 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11495 ], + "S1": [ 11496 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5424 ], + "A1": [ 5412 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11494 ], + "COUT": [ 11497 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11498 ], + "S1": [ 11499 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5546 ], + "A1": [ 5309 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11466 ], + "COUT": [ 11500 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11501 ], + "S1": [ 11502 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5414 ], + "A1": [ 5699 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11497 ], + "COUT": [ 11503 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11504 ], + "S1": [ 11505 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11503 ], + "COUT": [ 11506 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11507 ], + "S1": [ 11508 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11506 ], + "COUT": [ 11509 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11510 ], + "S1": [ 11511 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11509 ], + "COUT": [ 11512 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11513 ], + "S1": [ 11514 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11512 ], + "COUT": [ 11515 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11516 ], + "S1": [ 11517 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11515 ], + "COUT": [ 11518 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11519 ], + "S1": [ 11520 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[42].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11518 ], + "COUT": [ 427 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11521 ], + "S1": [ 11522 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5713 ], + "A1": [ 5413 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11500 ], + "COUT": [ 11523 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11524 ], + "S1": [ 11525 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5655 ], + "A1": [ 5548 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11523 ], + "COUT": [ 11526 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11527 ], + "S1": [ 11528 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5549 ], + "A1": [ 5429 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11526 ], + "COUT": [ 11469 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11529 ], + "S1": [ 11530 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5879 ], + "A1": [ 6288 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11531 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11532 ], + "S1": [ 11533 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5811 ], + "A1": [ 5712 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11534 ], + "COUT": [ 11535 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11536 ], + "S1": [ 11537 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5709 ], + "A1": [ 6304 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11535 ], + "COUT": [ 11538 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11539 ], + "S1": [ 11540 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6241 ], + "A1": [ 5831 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11538 ], + "COUT": [ 11541 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11542 ], + "S1": [ 11543 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5934 ], + "A1": [ 405 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11541 ], + "COUT": [ 11544 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11545 ], + "S1": [ 11546 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 406 ], + "A1": [ 404 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11544 ], + "COUT": [ 11547 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11548 ], + "S1": [ 11549 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5965 ], + "A1": [ 5702 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11547 ], + "COUT": [ 11550 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11551 ], + "S1": [ 11552 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 400 ], + "A1": [ 5735 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11550 ], + "COUT": [ 11553 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11554 ], + "S1": [ 11555 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5684 ], + "A1": [ 5672 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11553 ], + "COUT": [ 11556 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11557 ], + "S1": [ 11558 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6126 ], + "A1": [ 6187 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11556 ], + "COUT": [ 11559 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11560 ], + "S1": [ 11561 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5692 ], + "A1": [ 5675 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11559 ], + "COUT": [ 11562 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11563 ], + "S1": [ 11564 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5734 ], + "A1": [ 6257 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11531 ], + "COUT": [ 11565 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11566 ], + "S1": [ 11567 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5673 ], + "A1": [ 5689 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11562 ], + "COUT": [ 11568 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11569 ], + "S1": [ 11570 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11568 ], + "COUT": [ 11571 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11572 ], + "S1": [ 11573 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11571 ], + "COUT": [ 11574 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11575 ], + "S1": [ 11576 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11574 ], + "COUT": [ 11577 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11578 ], + "S1": [ 11579 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11577 ], + "COUT": [ 11580 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11581 ], + "S1": [ 11582 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11580 ], + "COUT": [ 403 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11583 ], + "S1": [ 11584 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5847 ], + "A1": [ 6203 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11565 ], + "COUT": [ 11585 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11586 ], + "S1": [ 11587 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5918 ], + "A1": [ 5674 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11585 ], + "COUT": [ 11588 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11589 ], + "S1": [ 11590 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5863 ], + "A1": [ 5902 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11588 ], + "COUT": [ 11534 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11591 ], + "S1": [ 11592 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5817 ], + "A1": [ 6224 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11593 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11594 ], + "S1": [ 11595 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 382 ], + "A1": [ 6112 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11596 ], + "COUT": [ 11597 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11598 ], + "S1": [ 11599 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 381 ], + "A1": [ 6227 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11597 ], + "COUT": [ 11600 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11601 ], + "S1": [ 11602 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6221 ], + "A1": [ 5814 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11600 ], + "COUT": [ 11603 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11604 ], + "S1": [ 11605 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5884 ], + "A1": [ 6094 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11603 ], + "COUT": [ 11606 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11607 ], + "S1": [ 11608 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6095 ], + "A1": [ 6093 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11606 ], + "COUT": [ 11609 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11610 ], + "S1": [ 11611 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5888 ], + "A1": [ 380 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11609 ], + "COUT": [ 11612 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11613 ], + "S1": [ 11614 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 379 ], + "A1": [ 5885 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11612 ], + "COUT": [ 11615 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11616 ], + "S1": [ 11617 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5968 ], + "A1": [ 5984 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11615 ], + "COUT": [ 11618 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11619 ], + "S1": [ 11620 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6092 ], + "A1": [ 6104 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11618 ], + "COUT": [ 11621 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11622 ], + "S1": [ 11623 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 353 ], + "A1": [ 354 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11621 ], + "COUT": [ 11624 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11625 ], + "S1": [ 11626 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6223 ], + "A1": [ 6222 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11593 ], + "COUT": [ 11627 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11628 ], + "S1": [ 11629 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5979 ], + "A1": [ 5969 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11624 ], + "COUT": [ 11630 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11631 ], + "S1": [ 11632 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11630 ], + "COUT": [ 11633 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11634 ], + "S1": [ 11635 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11633 ], + "COUT": [ 11636 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11637 ], + "S1": [ 11638 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11636 ], + "COUT": [ 11639 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11640 ], + "S1": [ 11641 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11639 ], + "COUT": [ 11642 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11643 ], + "S1": [ 11644 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[40].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11642 ], + "COUT": [ 378 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11645 ], + "S1": [ 11646 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5815 ], + "A1": [ 6109 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11627 ], + "COUT": [ 11647 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11648 ], + "S1": [ 11649 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5883 ], + "A1": [ 5970 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11647 ], + "COUT": [ 11650 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11651 ], + "S1": [ 11652 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5816 ], + "A1": [ 5882 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11650 ], + "COUT": [ 11596 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11653 ], + "S1": [ 11654 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 304 ], + "A1": [ 6313 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11655 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11656 ], + "S1": [ 11657 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6386 ], + "A1": [ 6571 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11658 ], + "COUT": [ 11659 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11660 ], + "S1": [ 11661 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6391 ], + "A1": [ 342 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11659 ], + "COUT": [ 11662 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11663 ], + "S1": [ 11664 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6375 ], + "A1": [ 6361 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11662 ], + "COUT": [ 11665 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11666 ], + "S1": [ 11667 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6316 ], + "A1": [ 6376 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11665 ], + "COUT": [ 11668 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11669 ], + "S1": [ 11670 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6345 ], + "A1": [ 6347 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11668 ], + "COUT": [ 11671 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11672 ], + "S1": [ 11673 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6314 ], + "A1": [ 332 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11671 ], + "COUT": [ 11674 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11675 ], + "S1": [ 11676 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 347 ], + "A1": [ 6315 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11674 ], + "COUT": [ 11677 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11678 ], + "S1": [ 11679 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 333 ], + "A1": [ 330 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11677 ], + "COUT": [ 11680 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11681 ], + "S1": [ 11682 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6789 ], + "A1": [ 6330 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11680 ], + "COUT": [ 11683 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11684 ], + "S1": [ 11685 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6757 ], + "A1": [ 6805 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11683 ], + "COUT": [ 11686 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11687 ], + "S1": [ 11688 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6356 ], + "A1": [ 6344 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11655 ], + "COUT": [ 11689 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11690 ], + "S1": [ 11691 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 331 ], + "A1": [ 6377 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11686 ], + "COUT": [ 11692 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11693 ], + "S1": [ 11694 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11692 ], + "COUT": [ 11695 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11696 ], + "S1": [ 11697 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11695 ], + "COUT": [ 11698 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11699 ], + "S1": [ 11700 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11698 ], + "COUT": [ 11701 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11702 ], + "S1": [ 11703 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11701 ], + "COUT": [ 329 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11704 ], + "S1": [ 11705 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6325 ], + "A1": [ 6418 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11689 ], + "COUT": [ 11706 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11707 ], + "S1": [ 11708 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 305 ], + "A1": [ 6346 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11706 ], + "COUT": [ 11709 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11710 ], + "S1": [ 11711 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6651 ], + "A1": [ 6587 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11709 ], + "COUT": [ 11658 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11712 ], + "S1": [ 11713 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6960 ], + "A1": [ 6451 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11714 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11715 ], + "S1": [ 11716 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6622 ], + "A1": [ 6512 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11717 ], + "COUT": [ 11718 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11719 ], + "S1": [ 11720 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6504 ], + "A1": [ 6880 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11718 ], + "COUT": [ 11721 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11722 ], + "S1": [ 11723 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6501 ], + "A1": [ 6881 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11721 ], + "COUT": [ 11724 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11725 ], + "S1": [ 11726 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6662 ], + "A1": [ 6656 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11724 ], + "COUT": [ 11727 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11728 ], + "S1": [ 11729 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6502 ], + "A1": [ 6654 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11727 ], + "COUT": [ 11730 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11731 ], + "S1": [ 11732 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6665 ], + "A1": [ 6503 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11730 ], + "COUT": [ 11733 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11734 ], + "S1": [ 11735 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6657 ], + "A1": [ 273 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11733 ], + "COUT": [ 11736 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11737 ], + "S1": [ 11738 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6768 ], + "A1": [ 6840 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11736 ], + "COUT": [ 11739 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11740 ], + "S1": [ 11741 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6773 ], + "A1": [ 6655 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11739 ], + "COUT": [ 11742 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11743 ], + "S1": [ 11744 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6938 ], + "A1": [ 6758 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11742 ], + "COUT": [ 11745 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11746 ], + "S1": [ 11747 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6969 ], + "A1": [ 6970 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11714 ], + "COUT": [ 11748 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11749 ], + "S1": [ 11750 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6759 ], + "A1": [ 6838 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11745 ], + "COUT": [ 11751 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11752 ], + "S1": [ 11753 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11751 ], + "COUT": [ 11754 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11755 ], + "S1": [ 11756 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11754 ], + "COUT": [ 11757 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11758 ], + "S1": [ 11759 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11757 ], + "COUT": [ 11760 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11761 ], + "S1": [ 11762 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[38].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11760 ], + "COUT": [ 280 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11763 ], + "S1": [ 11764 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 319 ], + "A1": [ 314 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11748 ], + "COUT": [ 11765 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11766 ], + "S1": [ 11767 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6951 ], + "A1": [ 6446 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11765 ], + "COUT": [ 11768 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11769 ], + "S1": [ 11770 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6619 ], + "A1": [ 6509 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11768 ], + "COUT": [ 11717 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11771 ], + "S1": [ 11772 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7021 ], + "A1": [ 247 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11773 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11774 ], + "S1": [ 11775 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6843 ], + "A1": [ 7178 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11776 ], + "COUT": [ 11777 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11778 ], + "S1": [ 11779 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7194 ], + "A1": [ 7199 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11777 ], + "COUT": [ 11780 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11781 ], + "S1": [ 11782 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7114 ], + "A1": [ 7200 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11780 ], + "COUT": [ 11783 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11784 ], + "S1": [ 11785 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7334 ], + "A1": [ 7303 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11783 ], + "COUT": [ 11786 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11787 ], + "S1": [ 11788 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7130 ], + "A1": [ 7272 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11786 ], + "COUT": [ 11789 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11790 ], + "S1": [ 11791 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7350 ], + "A1": [ 7146 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11789 ], + "COUT": [ 11792 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11793 ], + "S1": [ 11794 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6892 ], + "A1": [ 7074 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11792 ], + "COUT": [ 11795 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11796 ], + "S1": [ 11797 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7433 ], + "A1": [ 274 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11795 ], + "COUT": [ 11798 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11799 ], + "S1": [ 11800 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7386 ], + "A1": [ 6891 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11798 ], + "COUT": [ 11801 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11802 ], + "S1": [ 11803 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7354 ], + "A1": [ 7402 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11801 ], + "COUT": [ 11804 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11805 ], + "S1": [ 11806 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7000 ], + "A1": [ 7022 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11773 ], + "COUT": [ 11807 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11808 ], + "S1": [ 11809 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6890 ], + "A1": [ 275 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11804 ], + "COUT": [ 11810 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11811 ], + "S1": [ 11812 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11810 ], + "COUT": [ 11813 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11814 ], + "S1": [ 11815 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11813 ], + "COUT": [ 11816 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11817 ], + "S1": [ 11818 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11816 ], + "COUT": [ 271 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11819 ], + "S1": [ 11820 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 246 ], + "A1": [ 7052 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11807 ], + "COUT": [ 11821 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11822 ], + "S1": [ 11823 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7068 ], + "A1": [ 7016 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11821 ], + "COUT": [ 11824 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11825 ], + "S1": [ 11826 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6844 ], + "A1": [ 7162 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11824 ], + "COUT": [ 11776 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11827 ], + "S1": [ 11828 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7576 ], + "A1": [ 7556 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11829 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11830 ], + "S1": [ 11831 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7209 ], + "A1": [ 7092 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11832 ], + "COUT": [ 11833 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11834 ], + "S1": [ 11835 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7097 ], + "A1": [ 7460 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11833 ], + "COUT": [ 11836 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11837 ], + "S1": [ 11838 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7089 ], + "A1": [ 7479 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11836 ], + "COUT": [ 11839 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11840 ], + "S1": [ 11841 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7255 ], + "A1": [ 7249 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11839 ], + "COUT": [ 11842 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11843 ], + "S1": [ 11844 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7090 ], + "A1": [ 7247 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11842 ], + "COUT": [ 11845 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11846 ], + "S1": [ 11847 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7258 ], + "A1": [ 7091 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11845 ], + "COUT": [ 11848 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11849 ], + "S1": [ 11850 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7250 ], + "A1": [ 219 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11848 ], + "COUT": [ 11851 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11852 ], + "S1": [ 11853 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7365 ], + "A1": [ 7438 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11851 ], + "COUT": [ 11854 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11855 ], + "S1": [ 11856 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7370 ], + "A1": [ 7248 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11854 ], + "COUT": [ 11857 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11858 ], + "S1": [ 11859 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7536 ], + "A1": [ 7355 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11857 ], + "COUT": [ 11860 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11861 ], + "S1": [ 11862 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 256 ], + "A1": [ 7574 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11829 ], + "COUT": [ 11863 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11864 ], + "S1": [ 11865 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7356 ], + "A1": [ 7436 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11860 ], + "COUT": [ 11866 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11867 ], + "S1": [ 11868 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11866 ], + "COUT": [ 11869 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11870 ], + "S1": [ 11871 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11869 ], + "COUT": [ 11872 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11873 ], + "S1": [ 11874 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[36].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11872 ], + "COUT": [ 222 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11875 ], + "S1": [ 11876 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7565 ], + "A1": [ 7031 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11863 ], + "COUT": [ 11877 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11878 ], + "S1": [ 11879 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7038 ], + "A1": [ 264 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11877 ], + "COUT": [ 11880 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11881 ], + "S1": [ 11882 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7216 ], + "A1": [ 7100 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11880 ], + "COUT": [ 11832 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11883 ], + "S1": [ 11884 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7546 ], + "A1": [ 7587 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11885 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11886 ], + "S1": [ 11887 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7705 ], + "A1": [ 7592 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11888 ], + "COUT": [ 11889 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11890 ], + "S1": [ 11891 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7695 ], + "A1": [ 7440 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11889 ], + "COUT": [ 11892 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11893 ], + "S1": [ 11894 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7711 ], + "A1": [ 7706 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11892 ], + "COUT": [ 11895 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11896 ], + "S1": [ 11897 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7789 ], + "A1": [ 7669 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11895 ], + "COUT": [ 11898 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11899 ], + "S1": [ 11900 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7764 ], + "A1": [ 7664 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11898 ], + "COUT": [ 11901 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11902 ], + "S1": [ 11903 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7784 ], + "A1": [ 7732 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11901 ], + "COUT": [ 11904 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11905 ], + "S1": [ 11906 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7490 ], + "A1": [ 216 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11904 ], + "COUT": [ 11907 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11908 ], + "S1": [ 11909 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7628 ], + "A1": [ 218 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11907 ], + "COUT": [ 11910 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11911 ], + "S1": [ 11912 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7748 ], + "A1": [ 7489 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11910 ], + "COUT": [ 11913 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11914 ], + "S1": [ 11915 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7663 ], + "A1": [ 7700 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11913 ], + "COUT": [ 11916 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11917 ], + "S1": [ 11918 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7612 ], + "A1": [ 7545 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11885 ], + "COUT": [ 11919 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11920 ], + "S1": [ 11921 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7488 ], + "A1": [ 217 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11916 ], + "COUT": [ 11922 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11923 ], + "S1": [ 11924 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11922 ], + "COUT": [ 11925 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11926 ], + "S1": [ 11927 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11925 ], + "COUT": [ 215 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11928 ], + "S1": [ 11929 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7790 ], + "A1": [ 205 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11919 ], + "COUT": [ 11930 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11931 ], + "S1": [ 11932 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 204 ], + "A1": [ 7555 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11930 ], + "COUT": [ 11933 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11934 ], + "S1": [ 11935 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7442 ], + "A1": [ 7712 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11933 ], + "COUT": [ 11888 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11936 ], + "S1": [ 11937 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7975 ], + "A1": [ 7982 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11938 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11939 ], + "S1": [ 11940 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7793 ], + "A1": [ 177 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11941 ], + "COUT": [ 11942 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11943 ], + "S1": [ 11944 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7946 ], + "A1": [ 7971 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11942 ], + "COUT": [ 11945 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11946 ], + "S1": [ 11947 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7989 ], + "A1": [ 7935 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11945 ], + "COUT": [ 11948 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11949 ], + "S1": [ 11950 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8008 ], + "A1": [ 7832 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11948 ], + "COUT": [ 11951 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11952 ], + "S1": [ 11953 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7717 ], + "A1": [ 7815 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11951 ], + "COUT": [ 11954 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11955 ], + "S1": [ 11956 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8003 ], + "A1": [ 7715 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11954 ], + "COUT": [ 11957 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11958 ], + "S1": [ 11959 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7939 ], + "A1": [ 7986 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11957 ], + "COUT": [ 11960 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11961 ], + "S1": [ 11962 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7596 ], + "A1": [ 7597 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11960 ], + "COUT": [ 11963 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11964 ], + "S1": [ 11965 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7716 ], + "A1": [ 7807 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11963 ], + "COUT": [ 11966 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11967 ], + "S1": [ 11968 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7899 ], + "A1": [ 7872 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11966 ], + "COUT": [ 11969 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11970 ], + "S1": [ 11971 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7595 ], + "A1": [ 7931 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11938 ], + "COUT": [ 11972 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11973 ], + "S1": [ 11974 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7868 ], + "A1": [ 7718 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11969 ], + "COUT": [ 11975 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11976 ], + "S1": [ 11977 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11975 ], + "COUT": [ 11978 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11979 ], + "S1": [ 11980 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[34].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11978 ], + "COUT": [ 180 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11981 ], + "S1": [ 11982 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7876 ], + "A1": [ 7840 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11972 ], + "COUT": [ 11983 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11984 ], + "S1": [ 11985 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7819 ], + "A1": [ 7598 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11983 ], + "COUT": [ 11986 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11987 ], + "S1": [ 11988 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7927 ], + "A1": [ 7923 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11986 ], + "COUT": [ 11941 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11989 ], + "S1": [ 11990 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7950 ], + "A1": [ 7952 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 11991 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11992 ], + "S1": [ 11993 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 155 ], + "A1": [ 154 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11994 ], + "COUT": [ 11995 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11996 ], + "S1": [ 11997 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7918 ], + "A1": [ 7949 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11995 ], + "COUT": [ 11998 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 11999 ], + "S1": [ 12000 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7966 ], + "A1": [ 7903 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11998 ], + "COUT": [ 12001 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12002 ], + "S1": [ 12003 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8133 ], + "A1": [ 8115 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12001 ], + "COUT": [ 12004 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12005 ], + "S1": [ 12006 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8071 ], + "A1": [ 156 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12004 ], + "COUT": [ 12007 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12008 ], + "S1": [ 12009 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8134 ], + "A1": [ 7913 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12007 ], + "COUT": [ 12010 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12011 ], + "S1": [ 12012 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7904 ], + "A1": [ 7961 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12010 ], + "COUT": [ 12013 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12014 ], + "S1": [ 12015 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8116 ], + "A1": [ 7843 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12013 ], + "COUT": [ 12016 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12017 ], + "S1": [ 12018 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8025 ], + "A1": [ 8191 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12016 ], + "COUT": [ 12019 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12020 ], + "S1": [ 12021 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7855 ], + "A1": [ 7845 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12019 ], + "COUT": [ 12022 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12023 ], + "S1": [ 12024 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7951 ], + "A1": [ 7921 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 11991 ], + "COUT": [ 12025 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12026 ], + "S1": [ 12027 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7844 ], + "A1": [ 8026 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12022 ], + "COUT": [ 12028 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12029 ], + "S1": [ 12030 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12028 ], + "COUT": [ 153 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12031 ], + "S1": [ 12032 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7863 ], + "A1": [ 173 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12025 ], + "COUT": [ 12033 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12034 ], + "S1": [ 12035 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 143 ], + "A1": [ 7846 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12033 ], + "COUT": [ 12036 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12037 ], + "S1": [ 12038 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 142 ], + "A1": [ 7902 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12036 ], + "COUT": [ 11994 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12039 ], + "S1": [ 12040 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8261 ], + "A1": [ 8257 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12041 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12042 ], + "S1": [ 12043 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 115 ], + "A1": [ 8209 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12044 ], + "COUT": [ 12045 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12046 ], + "S1": [ 12047 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8356 ], + "A1": [ 8201 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12045 ], + "COUT": [ 12048 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12049 ], + "S1": [ 12050 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8205 ], + "A1": [ 8391 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12048 ], + "COUT": [ 12051 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12052 ], + "S1": [ 12053 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8369 ], + "A1": [ 8242 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12051 ], + "COUT": [ 12054 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12055 ], + "S1": [ 12056 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8040 ], + "A1": [ 8286 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12054 ], + "COUT": [ 12057 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12058 ], + "S1": [ 12059 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8352 ], + "A1": [ 8035 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12057 ], + "COUT": [ 12060 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12061 ], + "S1": [ 12062 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8347 ], + "A1": [ 8238 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12060 ], + "COUT": [ 12063 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12064 ], + "S1": [ 12065 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8197 ], + "A1": [ 8306 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12063 ], + "COUT": [ 12066 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12067 ], + "S1": [ 12068 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8302 ], + "A1": [ 8298 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12066 ], + "COUT": [ 12069 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12070 ], + "S1": [ 12071 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8249 ], + "A1": [ 8290 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12069 ], + "COUT": [ 12072 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12073 ], + "S1": [ 12074 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8150 ], + "A1": [ 8253 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12041 ], + "COUT": [ 12075 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12076 ], + "S1": [ 12077 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8294 ], + "A1": [ 8213 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12072 ], + "COUT": [ 12078 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12079 ], + "S1": [ 12080 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[32].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12078 ], + "COUT": [ 118 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12081 ], + "S1": [ 12082 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8147 ], + "A1": [ 8422 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12075 ], + "COUT": [ 12083 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12084 ], + "S1": [ 12085 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8404 ], + "A1": [ 8409 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12083 ], + "COUT": [ 12086 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12087 ], + "S1": [ 12088 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8386 ], + "A1": [ 8334 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12086 ], + "COUT": [ 12044 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12089 ], + "S1": [ 12090 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8219 ], + "A1": [ 8233 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12091 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12092 ], + "S1": [ 12093 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 100 ], + "A1": [ 108 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12094 ], + "COUT": [ 12095 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12096 ], + "S1": [ 12097 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8313 ], + "A1": [ 102 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12095 ], + "COUT": [ 12098 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12099 ], + "S1": [ 12100 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 103 ], + "A1": [ 8555 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12098 ], + "COUT": [ 12101 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12102 ], + "S1": [ 12103 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8915 ], + "A1": [ 8217 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12101 ], + "COUT": [ 12104 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12105 ], + "S1": [ 12106 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8284 ], + "A1": [ 8264 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12104 ], + "COUT": [ 12107 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12108 ], + "S1": [ 12109 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8966 ], + "A1": [ 8882 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12107 ], + "COUT": [ 12110 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12111 ], + "S1": [ 12112 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8948 ], + "A1": [ 8216 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12110 ], + "COUT": [ 12113 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12114 ], + "S1": [ 12115 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 101 ], + "A1": [ 8281 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12113 ], + "COUT": [ 12116 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12117 ], + "S1": [ 12118 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8276 ], + "A1": [ 8267 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12116 ], + "COUT": [ 12119 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12120 ], + "S1": [ 12121 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8218 ], + "A1": [ 8265 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12119 ], + "COUT": [ 12122 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12123 ], + "S1": [ 12124 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8236 ], + "A1": [ 8228 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12091 ], + "COUT": [ 12125 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12126 ], + "S1": [ 12127 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8266 ], + "A1": [ 111 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12122 ], + "COUT": [ 99 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12128 ], + "S1": [ 12129 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8690 ], + "A1": [ 89 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12125 ], + "COUT": [ 12130 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12131 ], + "S1": [ 12132 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 88 ], + "A1": [ 8672 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12130 ], + "COUT": [ 12133 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12134 ], + "S1": [ 12135 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8588 ], + "A1": [ 8312 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12133 ], + "COUT": [ 12094 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12136 ], + "S1": [ 12137 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8758 ], + "A1": [ 8763 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12138 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12139 ], + "S1": [ 12140 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8756 ], + "A1": [ 8538 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12141 ], + "COUT": [ 12142 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12143 ], + "S1": [ 12144 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8435 ], + "A1": [ 8623 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12142 ], + "COUT": [ 12145 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12146 ], + "S1": [ 12147 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8433 ], + "A1": [ 8535 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12145 ], + "COUT": [ 12148 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12149 ], + "S1": [ 12150 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8859 ], + "A1": [ 8757 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12148 ], + "COUT": [ 12151 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12152 ], + "S1": [ 12153 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8858 ], + "A1": [ 8444 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12151 ], + "COUT": [ 12154 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12155 ], + "S1": [ 12156 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8868 ], + "A1": [ 8857 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12154 ], + "COUT": [ 12157 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12158 ], + "S1": [ 12159 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8865 ], + "A1": [ 8436 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12157 ], + "COUT": [ 12160 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12161 ], + "S1": [ 12162 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8635 ], + "A1": [ 8441 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12160 ], + "COUT": [ 12163 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12164 ], + "S1": [ 12165 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8434 ], + "A1": [ 8640 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12163 ], + "COUT": [ 12166 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12167 ], + "S1": [ 12168 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8536 ], + "A1": [ 8860 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12166 ], + "COUT": [ 12169 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12170 ], + "S1": [ 12171 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8766 ], + "A1": [ 8643 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12138 ], + "COUT": [ 12172 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12173 ], + "S1": [ 12174 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8541 ], + "A1": [ 8626 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12169 ], + "COUT": [ 84 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12175 ], + "S1": [ 12176 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8625 ], + "A1": [ 9630 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12172 ], + "COUT": [ 12177 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12178 ], + "S1": [ 12179 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9229 ], + "A1": [ 8624 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12177 ], + "COUT": [ 12180 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12181 ], + "S1": [ 12182 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8537 ], + "A1": [ 8755 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12180 ], + "COUT": [ 12141 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 12183 ], + "S1": [ 12184 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1219 ], + "A1": [ 1118 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12185 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1220 ], + "S1": [ 1119 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12186 ], + "COUT": [ 12187 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 991 ], + "S1": [ 1183 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12187 ], + "COUT": [ 12188 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1018 ], + "S1": [ 1295 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12188 ], + "COUT": [ 12189 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1277 ], + "S1": [ 1286 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12189 ], + "COUT": [ 12190 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 982 ], + "S1": [ 1174 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12190 ], + "COUT": [ 12191 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1034 ], + "S1": [ 1070 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12191 ], + "COUT": [ 12192 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1255 ], + "S1": [ 1043 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12192 ], + "COUT": [ 12193 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1145 ], + "S1": [ 1136 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12193 ], + "COUT": [ 12194 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1061 ], + "S1": [ 1100 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12194 ], + "COUT": [ 12195 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1320 ], + "S1": [ 1329 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12195 ], + "COUT": [ 12196 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1347 ], + "S1": [ 1338 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1201 ], + "A1": [ 1000 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12185 ], + "COUT": [ 12197 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1202 ], + "S1": [ 1001 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12196 ], + "COUT": [ 12198 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1311 ], + "S1": [ 1052 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1237 ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12197 ], + "COUT": [ 12199 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1238 ], + "S1": [ 1109 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12199 ], + "COUT": [ 12200 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1192 ], + "S1": [ 1268 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12200 ], + "COUT": [ 12186 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1091 ], + "S1": [ 971 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9661 ], + "A1": [ 9013 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12201 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1221 ], + "S1": [ 1120 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9624 ], + "A1": [ 9000 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12202 ], + "COUT": [ 12203 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 992 ], + "S1": [ 1184 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9457 ], + "A1": [ 9001 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12203 ], + "COUT": [ 12204 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1019 ], + "S1": [ 1296 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9455 ], + "A1": [ 9002 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12204 ], + "COUT": [ 12205 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1278 ], + "S1": [ 1287 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9299 ], + "A1": [ 9003 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12205 ], + "COUT": [ 12206 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 983 ], + "S1": [ 1175 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9662 ], + "A1": [ 9004 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12206 ], + "COUT": [ 12207 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1035 ], + "S1": [ 1071 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9663 ], + "A1": [ 9005 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12207 ], + "COUT": [ 12208 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1256 ], + "S1": [ 1044 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9301 ], + "A1": [ 9006 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12208 ], + "COUT": [ 12209 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1146 ], + "S1": [ 1137 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9096 ], + "A1": [ 9007 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12209 ], + "COUT": [ 12210 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1062 ], + "S1": [ 1101 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9664 ], + "A1": [ 9008 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12210 ], + "COUT": [ 12211 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1321 ], + "S1": [ 1330 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9313 ], + "A1": [ 9009 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12211 ], + "COUT": [ 12212 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1348 ], + "S1": [ 1339 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8997 ], + "A1": [ 9031 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12201 ], + "COUT": [ 12213 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1203 ], + "S1": [ 1002 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9303 ], + "A1": [ 8995 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12212 ], + "COUT": [ 12214 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1312 ], + "S1": [ 1053 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9015 ], + "A1": [ 8998 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12213 ], + "COUT": [ 12215 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1239 ], + "S1": [ 1110 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9098 ], + "A1": [ 9017 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12215 ], + "COUT": [ 12216 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1193 ], + "S1": [ 1269 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8999 ], + "A1": [ 9019 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12216 ], + "COUT": [ 12202 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1092 ], + "S1": [ 972 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9675 ], + "A1": [ 9014 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12217 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1222 ], + "S1": [ 1121 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9625 ], + "A1": [ 9021 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12218 ], + "COUT": [ 12219 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 993 ], + "S1": [ 1185 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9458 ], + "A1": [ 9022 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12219 ], + "COUT": [ 12220 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1020 ], + "S1": [ 1297 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9456 ], + "A1": [ 9023 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12220 ], + "COUT": [ 12221 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1279 ], + "S1": [ 1288 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9300 ], + "A1": [ 9024 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12221 ], + "COUT": [ 12222 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 984 ], + "S1": [ 1176 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9674 ], + "A1": [ 9025 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12222 ], + "COUT": [ 12223 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1036 ], + "S1": [ 1072 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9733 ], + "A1": [ 9026 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12223 ], + "COUT": [ 12224 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1257 ], + "S1": [ 1045 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9302 ], + "A1": [ 9027 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12224 ], + "COUT": [ 12225 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1147 ], + "S1": [ 1138 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9097 ], + "A1": [ 9028 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12225 ], + "COUT": [ 12226 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1063 ], + "S1": [ 1102 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9734 ], + "A1": [ 9029 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12226 ], + "COUT": [ 12227 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1322 ], + "S1": [ 1331 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9314 ], + "A1": [ 9030 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12227 ], + "COUT": [ 12228 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1349 ], + "S1": [ 1340 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9134 ], + "A1": [ 9032 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12217 ], + "COUT": [ 12229 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1204 ], + "S1": [ 1003 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9304 ], + "A1": [ 8996 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12228 ], + "COUT": [ 12230 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1313 ], + "S1": [ 1054 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9016 ], + "A1": [ 9033 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12229 ], + "COUT": [ 12231 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1240 ], + "S1": [ 1111 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9099 ], + "A1": [ 9018 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12231 ], + "COUT": [ 12232 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1194 ], + "S1": [ 1270 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 9739 ], + "A1": [ 9020 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12232 ], + "COUT": [ 12218 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1093 ], + "S1": [ 973 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1165 ], + "A1": [ 1082 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12233 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1414 ], + "S1": [ 1419 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 965 ], + "A1": [ 1155 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12234 ], + "COUT": [ 12235 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1389 ], + "S1": [ 1418 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 969 ], + "A1": [ 1267 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12235 ], + "COUT": [ 12236 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1382 ], + "S1": [ 1473 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1265 ], + "A1": [ 1266 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12236 ], + "COUT": [ 12237 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1390 ], + "S1": [ 1453 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 964 ], + "A1": [ 1154 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12237 ], + "COUT": [ 12238 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1477 ], + "S1": [ 1373 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1027 ], + "A1": [ 1030 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12238 ], + "COUT": [ 12239 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1370 ], + "S1": [ 1369 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1173 ], + "A1": [ 1028 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12239 ], + "COUT": [ 12240 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1474 ], + "S1": [ 1479 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1090 ], + "A1": [ 1087 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12240 ], + "COUT": [ 12241 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1478 ], + "S1": [ 1374 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1029 ], + "A1": [ 1080 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12241 ], + "COUT": [ 12242 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1436 ], + "S1": [ 1435 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1304 ], + "A1": [ 1305 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12242 ], + "COUT": [ 12243 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1437 ], + "S1": [ 1386 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1310 ], + "A1": [ 1307 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12243 ], + "COUT": [ 12244 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1454 ], + "S1": [ 1470 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1156 ], + "A1": [ 966 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12233 ], + "COUT": [ 12245 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1377 ], + "S1": [ 1420 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1306 ], + "A1": [ 1033 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12244 ], + "COUT": [ 12246 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1469 ], + "S1": [ 1385 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1170 ], + "A1": [ 1081 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12245 ], + "COUT": [ 12247 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1356 ], + "S1": [ 1415 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 940 ], + "A1": [ 1264 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12247 ], + "COUT": [ 12248 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 939 ], + "S1": [ 1378 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1079 ], + "A1": [ 963 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12248 ], + "COUT": [ 12234 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1381 ], + "S1": [ 1452 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1714 ], + "A1": [ 1775 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12249 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1709 ], + "S1": [ 1770 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 933 ], + "A1": [ 1531 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12250 ], + "COUT": [ 12251 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 925 ], + "S1": [ 1526 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1741 ], + "A1": [ 1507 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12251 ], + "COUT": [ 12252 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1725 ], + "S1": [ 1502 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1515 ], + "A1": [ 1727 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12252 ], + "COUT": [ 12253 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1510 ], + "S1": [ 1726 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1584 ], + "A1": [ 1661 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12253 ], + "COUT": [ 12254 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1579 ], + "S1": [ 1656 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1499 ], + "A1": [ 1653 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12254 ], + "COUT": [ 12255 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1494 ], + "S1": [ 1648 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1592 ], + "A1": [ 1523 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12255 ], + "COUT": [ 12256 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1587 ], + "S1": [ 1518 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1576 ], + "A1": [ 1669 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12256 ], + "COUT": [ 12257 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1571 ], + "S1": [ 1664 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1685 ], + "A1": [ 1645 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12257 ], + "COUT": [ 12258 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1680 ], + "S1": [ 1640 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1600 ], + "A1": [ 1608 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12258 ], + "COUT": [ 12259 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1595 ], + "S1": [ 1603 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1637 ], + "A1": [ 1568 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12259 ], + "COUT": [ 12260 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1632 ], + "S1": [ 1563 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1791 ], + "A1": [ 1767 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12249 ], + "COUT": [ 12261 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1786 ], + "S1": [ 1762 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1560 ], + "A1": [ 1677 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12260 ], + "COUT": [ 12262 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1555 ], + "S1": [ 1672 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 938 ], + "A1": [ 1690 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12261 ], + "COUT": [ 12263 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1723 ], + "S1": [ 1689 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 937 ], + "A1": [ 1745 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12263 ], + "COUT": [ 12264 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1688 ], + "S1": [ 1744 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1736 ], + "A1": [ 1783 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12264 ], + "COUT": [ 12250 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1724 ], + "S1": [ 1778 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1700 ], + "A1": [ 1746 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12265 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1859 ], + "S1": [ 1800 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 913 ], + "A1": [ 924 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12266 ], + "COUT": [ 12267 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1822 ], + "S1": [ 1823 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1798 ], + "A1": [ 915 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12267 ], + "COUT": [ 12268 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1797 ], + "S1": [ 1861 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 916 ], + "A1": [ 1855 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12268 ], + "COUT": [ 12269 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1795 ], + "S1": [ 1854 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1536 ], + "A1": [ 1613 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12269 ], + "COUT": [ 12270 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1862 ], + "S1": [ 1894 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 914 ], + "A1": [ 1612 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12270 ], + "COUT": [ 12271 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1895 ], + "S1": [ 1898 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1537 ], + "A1": [ 921 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12271 ], + "COUT": [ 12272 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1863 ], + "S1": [ 1796 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1535 ], + "A1": [ 1614 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12272 ], + "COUT": [ 12273 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1794 ], + "S1": [ 1860 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1628 ], + "A1": [ 1611 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12273 ], + "COUT": [ 12274 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1890 ], + "S1": [ 1832 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1551 ], + "A1": [ 1554 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12274 ], + "COUT": [ 12275 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1889 ], + "S1": [ 1891 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1631 ], + "A1": [ 1546 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12275 ], + "COUT": [ 12276 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1825 ], + "S1": [ 1830 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 888 ], + "A1": [ 887 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12265 ], + "COUT": [ 12277 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 885 ], + "S1": [ 886 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1534 ], + "A1": [ 1623 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12276 ], + "COUT": [ 12278 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1824 ], + "S1": [ 1831 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1705 ], + "A1": [ 1829 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12277 ], + "COUT": [ 12279 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1856 ], + "S1": [ 1828 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1897 ], + "A1": [ 1888 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12279 ], + "COUT": [ 12280 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1896 ], + "S1": [ 1887 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1858 ], + "A1": [ 1747 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12280 ], + "COUT": [ 12266 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1857 ], + "S1": [ 1799 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1836 ], + "A1": [ 881 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12281 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2148 ], + "S1": [ 2249 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1801 ], + "A1": [ 1802 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12282 ], + "COUT": [ 12283 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1945 ], + "S1": [ 1965 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 867 ], + "A1": [ 1850 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12283 ], + "COUT": [ 12284 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2281 ], + "S1": [ 2197 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 865 ], + "A1": [ 1833 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12284 ], + "COUT": [ 12285 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1946 ], + "S1": [ 1915 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2059 ], + "A1": [ 1867 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12285 ], + "COUT": [ 12286 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2046 ], + "S1": [ 2063 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1868 ], + "A1": [ 1878 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12286 ], + "COUT": [ 12287 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2199 ], + "S1": [ 2133 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2326 ], + "A1": [ 866 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12287 ], + "COUT": [ 12288 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2313 ], + "S1": [ 2016 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 864 ], + "A1": [ 1845 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12288 ], + "COUT": [ 12289 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2031 ], + "S1": [ 2062 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2277 ], + "A1": [ 1821 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12289 ], + "COUT": [ 12290 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2264 ], + "S1": [ 2165 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1883 ], + "A1": [ 1912 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12290 ], + "COUT": [ 12291 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1930 ], + "S1": [ 1899 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1993 ], + "A1": [ 1804 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12291 ], + "COUT": [ 12292 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1980 ], + "S1": [ 2298 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2013 ], + "A1": [ 860 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12281 ], + "COUT": [ 12293 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2000 ], + "S1": [ 843 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2246 ], + "A1": [ 1813 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12292 ], + "COUT": [ 12294 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2233 ], + "S1": [ 2218 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1834 ], + "A1": [ 1803 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12293 ], + "COUT": [ 12295 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2196 ], + "S1": [ 2180 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1869 ], + "A1": [ 1866 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12295 ], + "COUT": [ 12296 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2195 ], + "S1": [ 2080 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1835 ], + "A1": [ 876 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12296 ], + "COUT": [ 12282 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2280 ], + "S1": [ 2095 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2132 ], + "A1": [ 2216 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12297 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2439 ], + "S1": [ 2537 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2496 ], + "A1": [ 831 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12298 ], + "COUT": [ 12299 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2487 ], + "S1": [ 828 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2406 ], + "A1": [ 2534 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12299 ], + "COUT": [ 12300 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2396 ], + "S1": [ 2520 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2529 ], + "A1": [ 840 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12300 ], + "COUT": [ 12301 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2519 ], + "S1": [ 2429 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1999 ], + "A1": [ 2476 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12301 ], + "COUT": [ 12302 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2336 ], + "S1": [ 2466 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2198 ], + "A1": [ 2131 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12302 ], + "COUT": [ 12303 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2467 ], + "S1": [ 2463 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2295 ], + "A1": [ 1997 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12303 ], + "COUT": [ 12304 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2339 ], + "S1": [ 2397 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1998 ], + "A1": [ 2330 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12304 ], + "COUT": [ 12305 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2413 ], + "S1": [ 2329 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2217 ], + "A1": [ 2164 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12305 ], + "COUT": [ 12306 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2369 ], + "S1": [ 2372 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 842 ], + "A1": [ 841 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12306 ], + "COUT": [ 12307 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2378 ], + "S1": [ 2375 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1959 ], + "A1": [ 2290 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12307 ], + "COUT": [ 12308 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2503 ], + "S1": [ 2363 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1996 ], + "A1": [ 839 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12297 ], + "COUT": [ 12309 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2483 ], + "S1": [ 2538 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2215 ], + "A1": [ 2214 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12308 ], + "COUT": [ 12310 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2504 ], + "S1": [ 2366 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2111 ], + "A1": [ 2163 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12309 ], + "COUT": [ 12311 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2432 ], + "S1": [ 2486 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2110 ], + "A1": [ 2072 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12311 ], + "COUT": [ 12312 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2333 ], + "S1": [ 2381 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2436 ], + "A1": [ 2077 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12312 ], + "COUT": [ 12298 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2435 ], + "S1": [ 2414 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2387 ], + "A1": [ 2614 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12313 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2725 ], + "S1": [ 2613 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2615 ], + "A1": [ 821 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12314 ], + "COUT": [ 12315 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2612 ], + "S1": [ 2737 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2713 ], + "A1": [ 2625 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12315 ], + "COUT": [ 12316 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2711 ], + "S1": [ 2622 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2731 ], + "A1": [ 2384 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12316 ], + "COUT": [ 12317 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2729 ], + "S1": [ 2708 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 823 ], + "A1": [ 2685 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12317 ], + "COUT": [ 12318 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2712 ], + "S1": [ 2672 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2655 ], + "A1": [ 2442 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12318 ], + "COUT": [ 12319 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2653 ], + "S1": [ 2571 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 824 ], + "A1": [ 796 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12319 ], + "COUT": [ 12320 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2654 ], + "S1": [ 794 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 797 ], + "A1": [ 827 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12320 ], + "COUT": [ 12321 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 795 ], + "S1": [ 2690 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2354 ], + "A1": [ 2362 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12321 ], + "COUT": [ 12322 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2591 ], + "S1": [ 2726 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2359 ], + "A1": [ 2344 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12322 ], + "COUT": [ 12323 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2606 ], + "S1": [ 2718 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2592 ], + "A1": [ 2342 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12323 ], + "COUT": [ 12324 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2590 ], + "S1": [ 2730 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2443 ], + "A1": [ 2624 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12313 ], + "COUT": [ 12325 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2601 ], + "S1": [ 2623 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2720 ], + "A1": [ 2343 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12324 ], + "COUT": [ 12326 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2719 ], + "S1": [ 2736 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2385 ], + "A1": [ 2607 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12325 ], + "COUT": [ 12327 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2597 ], + "S1": [ 2605 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 822 ], + "A1": [ 2345 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12327 ], + "COUT": [ 12328 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2598 ], + "S1": [ 2602 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2386 ], + "A1": [ 2566 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12328 ], + "COUT": [ 12314 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2707 ], + "S1": [ 2553 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2959 ], + "A1": [ 2838 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12329 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2958 ], + "S1": [ 2837 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2877 ], + "A1": [ 2824 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12330 ], + "COUT": [ 12331 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2876 ], + "S1": [ 2808 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2745 ], + "A1": [ 2881 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12331 ], + "COUT": [ 12332 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2744 ], + "S1": [ 2880 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2826 ], + "A1": [ 2955 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12332 ], + "COUT": [ 12333 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2825 ], + "S1": [ 2954 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2842 ], + "A1": [ 2664 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12333 ], + "COUT": [ 12334 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2841 ], + "S1": [ 2873 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2866 ], + "A1": [ 810 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12334 ], + "COUT": [ 12335 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2865 ], + "S1": [ 2761 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2741 ], + "A1": [ 2900 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12335 ], + "COUT": [ 12336 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2740 ], + "S1": [ 2887 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2749 ], + "A1": [ 2671 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12336 ], + "COUT": [ 12337 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2748 ], + "S1": [ 2884 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2947 ], + "A1": [ 2770 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12337 ], + "COUT": [ 12338 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2946 ], + "S1": [ 2760 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2943 ], + "A1": [ 2757 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12338 ], + "COUT": [ 12339 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2942 ], + "S1": [ 2756 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2803 ], + "A1": [ 2939 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12339 ], + "COUT": [ 12340 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2802 ], + "S1": [ 2925 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2834 ], + "A1": [ 2830 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12329 ], + "COUT": [ 12341 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2833 ], + "S1": [ 2829 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2806 ], + "A1": [ 2934 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12340 ], + "COUT": [ 12342 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2807 ], + "S1": [ 2924 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2951 ], + "A1": [ 2799 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12341 ], + "COUT": [ 12343 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2950 ], + "S1": [ 2798 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2870 ], + "A1": [ 2753 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12343 ], + "COUT": [ 12344 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2869 ], + "S1": [ 2752 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2963 ], + "A1": [ 769 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12344 ], + "COUT": [ 12330 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2962 ], + "S1": [ 766 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2906 ], + "A1": [ 2794 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12345 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3085 ], + "S1": [ 3254 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2847 ], + "A1": [ 3309 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12346 ], + "COUT": [ 12347 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3328 ], + "S1": [ 3296 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 747 ], + "A1": [ 2856 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12347 ], + "COUT": [ 12348 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3115 ], + "S1": [ 3358 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2779 ], + "A1": [ 2905 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12348 ], + "COUT": [ 12349 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3281 ], + "S1": [ 3100 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 741 ], + "A1": [ 2846 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12349 ], + "COUT": [ 12350 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 724 ], + "S1": [ 2996 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2844 ], + "A1": [ 3024 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12350 ], + "COUT": [ 12351 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3343 ], + "S1": [ 3011 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 746 ], + "A1": [ 3325 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12351 ], + "COUT": [ 12352 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2966 ], + "S1": [ 3312 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 762 ], + "A1": [ 2861 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12352 ], + "COUT": [ 12353 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3027 ], + "S1": [ 3044 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2923 ], + "A1": [ 3045 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12353 ], + "COUT": [ 12354 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3439 ], + "S1": [ 3042 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2903 ], + "A1": [ 757 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12354 ], + "COUT": [ 12355 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3373 ], + "S1": [ 2981 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2778 ], + "A1": [ 3405 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12355 ], + "COUT": [ 12356 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3424 ], + "S1": [ 3392 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2789 ], + "A1": [ 2780 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12345 ], + "COUT": [ 12357 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3190 ], + "S1": [ 3239 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3421 ], + "A1": [ 3054 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12356 ], + "COUT": [ 12358 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3408 ], + "S1": [ 3043 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2904 ], + "A1": [ 2777 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12357 ], + "COUT": [ 12359 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3145 ], + "S1": [ 3175 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2845 ], + "A1": [ 748 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12359 ], + "COUT": [ 12360 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3160 ], + "S1": [ 3224 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2915 ], + "A1": [ 745 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12360 ], + "COUT": [ 12346 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3130 ], + "S1": [ 3209 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3064 ], + "A1": [ 3201 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12361 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3491 ], + "S1": [ 3556 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3271 ], + "A1": [ 3270 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12362 ], + "COUT": [ 12363 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3461 ], + "S1": [ 3463 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3066 ], + "A1": [ 3277 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12363 ], + "COUT": [ 12364 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3499 ], + "S1": [ 3551 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3269 ], + "A1": [ 3065 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12364 ], + "COUT": [ 12365 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3552 ], + "S1": [ 3488 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 712 ], + "A1": [ 714 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12365 ], + "COUT": [ 12366 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3456 ], + "S1": [ 3458 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3272 ], + "A1": [ 715 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12366 ], + "COUT": [ 12367 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3457 ], + "S1": [ 3557 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 720 ], + "A1": [ 3280 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12367 ], + "COUT": [ 12368 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3559 ], + "S1": [ 3496 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 723 ], + "A1": [ 3560 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12368 ], + "COUT": [ 12369 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3500 ], + "S1": [ 3558 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3384 ], + "A1": [ 3510 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12369 ], + "COUT": [ 12370 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3504 ], + "S1": [ 3509 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 689 ], + "A1": [ 713 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12370 ], + "COUT": [ 12371 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 688 ], + "S1": [ 3454 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3375 ], + "A1": [ 3389 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12371 ], + "COUT": [ 12372 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3508 ], + "S1": [ 3514 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3555 ], + "A1": [ 3192 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12361 ], + "COUT": [ 12373 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3554 ], + "S1": [ 3553 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3374 ], + "A1": [ 3505 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12372 ], + "COUT": [ 12374 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3513 ], + "S1": [ 3503 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3076 ], + "A1": [ 3084 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12373 ], + "COUT": [ 12375 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3487 ], + "S1": [ 3455 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3081 ], + "A1": [ 3191 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12375 ], + "COUT": [ 12376 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3462 ], + "S1": [ 3515 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3067 ], + "A1": [ 3206 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12376 ], + "COUT": [ 12362 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3492 ], + "S1": [ 3495 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3652 ], + "A1": [ 3542 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12377 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3650 ], + "S1": [ 3722 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 679 ], + "A1": [ 3653 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12378 ], + "COUT": [ 12379 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3809 ], + "S1": [ 3651 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3568 ], + "A1": [ 3530 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12379 ], + "COUT": [ 12380 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3563 ], + "S1": [ 3708 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3531 ], + "A1": [ 3821 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12380 ], + "COUT": [ 12381 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3571 ], + "S1": [ 3816 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 670 ], + "A1": [ 3691 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12381 ], + "COUT": [ 12382 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3774 ], + "S1": [ 3689 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3616 ], + "A1": [ 3547 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12382 ], + "COUT": [ 12383 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3614 ], + "S1": [ 3715 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3639 ], + "A1": [ 3617 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12383 ], + "COUT": [ 12384 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3634 ], + "S1": [ 3615 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3647 ], + "A1": [ 3690 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12384 ], + "COUT": [ 12385 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3642 ], + "S1": [ 3688 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 644 ], + "A1": [ 3724 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12385 ], + "COUT": [ 12386 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 642 ], + "S1": [ 3723 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 667 ], + "A1": [ 668 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12386 ], + "COUT": [ 12387 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3748 ], + "S1": [ 3741 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3581 ], + "A1": [ 3603 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12387 ], + "COUT": [ 12388 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3578 ], + "S1": [ 3598 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3533 ], + "A1": [ 3532 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12377 ], + "COUT": [ 12389 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3755 ], + "S1": [ 3677 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3611 ], + "A1": [ 645 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12388 ], + "COUT": [ 12390 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3606 ], + "S1": [ 643 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3791 ], + "A1": [ 669 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12389 ], + "COUT": [ 12391 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3789 ], + "S1": [ 3670 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3792 ], + "A1": [ 3757 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12391 ], + "COUT": [ 12392 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3790 ], + "S1": [ 3756 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3786 ], + "A1": [ 3580 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12392 ], + "COUT": [ 12378 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3781 ], + "S1": [ 3579 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3942 ], + "A1": [ 4015 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12393 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3941 ], + "S1": [ 4006 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3801 ], + "A1": [ 3999 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12394 ], + "COUT": [ 12395 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4026 ], + "S1": [ 3998 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 654 ], + "A1": [ 3700 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12395 ], + "COUT": [ 12396 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3968 ], + "S1": [ 3888 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 659 ], + "A1": [ 3806 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12396 ], + "COUT": [ 12397 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4029 ], + "S1": [ 4032 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3766 ], + "A1": [ 3916 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12397 ], + "COUT": [ 12398 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3885 ], + "S1": [ 3919 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3905 ], + "A1": [ 3707 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12398 ], + "COUT": [ 12399 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3895 ], + "S1": [ 3841 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3633 ], + "A1": [ 3850 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12399 ], + "COUT": [ 12400 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3896 ], + "S1": [ 3840 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3626 ], + "A1": [ 3892 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12400 ], + "COUT": [ 12401 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4002 ], + "S1": [ 3891 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3829 ], + "A1": [ 3833 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12401 ], + "COUT": [ 12402 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3828 ], + "S1": [ 3832 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3738 ], + "A1": [ 3733 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12402 ], + "COUT": [ 12403 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3857 ], + "S1": [ 3971 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3882 ], + "A1": [ 3590 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12403 ], + "COUT": [ 12404 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3881 ], + "S1": [ 3974 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 634 ], + "A1": [ 3667 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12393 ], + "COUT": [ 12405 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 631 ], + "S1": [ 4005 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3595 ], + "A1": [ 3837 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12404 ], + "COUT": [ 12406 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3965 ], + "S1": [ 3836 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3913 ], + "A1": [ 3662 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12405 ], + "COUT": [ 12407 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3912 ], + "S1": [ 3949 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3958 ], + "A1": [ 3825 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12407 ], + "COUT": [ 12408 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3948 ], + "S1": [ 3824 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3771 ], + "A1": [ 4023 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12408 ], + "COUT": [ 12394 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3945 ], + "S1": [ 4022 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3920 ], + "A1": [ 4167 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12409 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4237 ], + "S1": [ 4165 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3980 ], + "A1": [ 3977 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12410 ], + "COUT": [ 12411 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4199 ], + "S1": [ 4268 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3923 ], + "A1": [ 3862 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12411 ], + "COUT": [ 12412 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4398 ], + "S1": [ 4267 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3989 ], + "A1": [ 3994 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12412 ], + "COUT": [ 12413 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4214 ], + "S1": [ 4366 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3861 ], + "A1": [ 3880 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12413 ], + "COUT": [ 12414 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4086 ], + "S1": [ 4383 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4068 ], + "A1": [ 4069 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12414 ], + "COUT": [ 12415 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4066 ], + "S1": [ 4067 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4317 ], + "A1": [ 4362 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12415 ], + "COUT": [ 12416 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4315 ], + "S1": [ 4349 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3978 ], + "A1": [ 3863 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12416 ], + "COUT": [ 12417 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4316 ], + "S1": [ 4334 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 613 ], + "A1": [ 614 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12417 ], + "COUT": [ 12418 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4300 ], + "S1": [ 4035 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 586 ], + "A1": [ 3932 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12418 ], + "COUT": [ 12419 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 584 ], + "S1": [ 4252 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3860 ], + "A1": [ 3937 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12419 ], + "COUT": [ 12420 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4150 ], + "S1": [ 4220 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 611 ], + "A1": [ 4168 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12409 ], + "COUT": [ 12421 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4116 ], + "S1": [ 4166 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3922 ], + "A1": [ 623 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12420 ], + "COUT": [ 12422 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4219 ], + "S1": [ 4285 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3872 ], + "A1": [ 4147 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12421 ], + "COUT": [ 12423 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4050 ], + "S1": [ 4134 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 585 ], + "A1": [ 612 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12423 ], + "COUT": [ 12424 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 583 ], + "S1": [ 4117 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3921 ], + "A1": [ 3979 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12424 ], + "COUT": [ 12410 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4365 ], + "S1": [ 4101 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4229 ], + "A1": [ 4438 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12425 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4504 ], + "S1": [ 4437 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4177 ], + "A1": [ 4445 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12426 ], + "COUT": [ 12427 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4501 ], + "S1": [ 4448 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4375 ], + "A1": [ 4491 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12427 ], + "COUT": [ 12428 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4527 ], + "S1": [ 4490 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4182 ], + "A1": [ 4543 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12428 ], + "COUT": [ 12429 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4434 ], + "S1": [ 4542 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4085 ], + "A1": [ 4382 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12429 ], + "COUT": [ 12430 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4470 ], + "S1": [ 4536 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4480 ], + "A1": [ 4414 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12430 ], + "COUT": [ 12431 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4471 ], + "S1": [ 4413 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4615 ], + "A1": [ 4331 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12431 ], + "COUT": [ 12432 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4614 ], + "S1": [ 4487 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4498 ], + "A1": [ 4326 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12432 ], + "COUT": [ 12433 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4497 ], + "S1": [ 4533 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4282 ], + "A1": [ 595 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12433 ], + "COUT": [ 12434 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4546 ], + "S1": [ 4584 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4556 ], + "A1": [ 4234 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12434 ], + "COUT": [ 12435 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4547 ], + "S1": [ 4530 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4131 ], + "A1": [ 4604 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12435 ], + "COUT": [ 12436 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4539 ], + "S1": [ 4603 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4427 ], + "A1": [ 4593 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12425 ], + "COUT": [ 12437 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4417 ], + "S1": [ 4583 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4608 ], + "A1": [ 4277 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12436 ], + "COUT": [ 12438 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4607 ], + "S1": [ 4600 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 600 ], + "A1": [ 4126 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12437 ], + "COUT": [ 12439 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4611 ], + "S1": [ 4418 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4619 ], + "A1": [ 575 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12439 ], + "COUT": [ 12440 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4618 ], + "S1": [ 572 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4442 ], + "A1": [ 4078 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12440 ], + "COUT": [ 12426 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4441 ], + "S1": [ 4494 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4466 ], + "A1": [ 554 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12441 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4653 ], + "S1": [ 4801 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4461 ], + "A1": [ 568 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12442 ], + "COUT": [ 12443 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4767 ], + "S1": [ 4782 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4507 ], + "A1": [ 4450 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12443 ], + "COUT": [ 12444 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4751 ], + "S1": [ 4637 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 553 ], + "A1": [ 4523 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12444 ], + "COUT": [ 12445 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4802 ], + "S1": [ 4883 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4951 ], + "A1": [ 4518 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12445 ], + "COUT": [ 12446 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4950 ], + "S1": [ 4685 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4981 ], + "A1": [ 552 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12446 ], + "COUT": [ 12447 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4968 ], + "S1": [ 4984 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 526 ], + "A1": [ 4449 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12447 ], + "COUT": [ 12448 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 523 ], + "S1": [ 4700 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4452 ], + "A1": [ 4508 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12448 ], + "COUT": [ 12449 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4718 ], + "S1": [ 4622 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4930 ], + "A1": [ 4746 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12449 ], + "COUT": [ 12450 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4917 ], + "S1": [ 4733 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4946 ], + "A1": [ 525 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12450 ], + "COUT": [ 12451 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4933 ], + "S1": [ 524 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4509 ], + "A1": [ 4564 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12451 ], + "COUT": [ 12452 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4851 ], + "S1": [ 4852 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4900 ], + "A1": [ 4832 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12441 ], + "COUT": [ 12453 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4898 ], + "S1": [ 4819 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4565 ], + "A1": [ 4563 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12452 ], + "COUT": [ 12454 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4868 ], + "S1": [ 4670 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4566 ], + "A1": [ 4848 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12453 ], + "COUT": [ 12455 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4899 ], + "S1": [ 4835 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4579 ], + "A1": [ 551 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12455 ], + "COUT": [ 12456 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4701 ], + "S1": [ 4652 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 563 ], + "A1": [ 4451 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12456 ], + "COUT": [ 12442 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4949 ], + "S1": [ 4750 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5189 ], + "A1": [ 5106 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12457 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5179 ], + "S1": [ 5105 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 510 ], + "A1": [ 4764 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12458 ], + "COUT": [ 12459 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 498 ], + "S1": [ 5102 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5130 ], + "A1": [ 540 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12459 ], + "COUT": [ 12460 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5115 ], + "S1": [ 5109 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5000 ], + "A1": [ 515 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12460 ], + "COUT": [ 12461 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 4999 ], + "S1": [ 499 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5137 ], + "A1": [ 4669 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12461 ], + "COUT": [ 12462 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5136 ], + "S1": [ 5169 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4960 ], + "A1": [ 4965 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12462 ], + "COUT": [ 12463 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5176 ], + "S1": [ 5162 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5074 ], + "A1": [ 5066 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12463 ], + "COUT": [ 12464 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5073 ], + "S1": [ 5065 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4710 ], + "A1": [ 535 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12464 ], + "COUT": [ 12465 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5046 ], + "S1": [ 5133 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4909 ], + "A1": [ 4715 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12465 ], + "COUT": [ 12466 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5049 ], + "S1": [ 5014 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4914 ], + "A1": [ 5125 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12466 ], + "COUT": [ 12467 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5050 ], + "S1": [ 5116 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5043 ], + "A1": [ 5004 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12467 ], + "COUT": [ 12468 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5042 ], + "S1": [ 5003 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5166 ], + "A1": [ 4811 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12457 ], + "COUT": [ 12469 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5165 ], + "S1": [ 5112 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 4861 ], + "A1": [ 4662 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12468 ], + "COUT": [ 12470 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5180 ], + "S1": [ 5011 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5173 ], + "A1": [ 4816 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12469 ], + "COUT": [ 12471 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5172 ], + "S1": [ 5159 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5070 ], + "A1": [ 5078 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12471 ], + "COUT": [ 12472 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5069 ], + "S1": [ 5077 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5008 ], + "A1": [ 5018 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12472 ], + "COUT": [ 12458 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5007 ], + "S1": [ 5017 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5508 ], + "A1": [ 5082 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12473 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5495 ], + "S1": [ 5349 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5586 ], + "A1": [ 5081 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12474 ], + "COUT": [ 12475 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5573 ], + "S1": [ 5397 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5647 ], + "A1": [ 5098 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12475 ], + "COUT": [ 12476 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5634 ], + "S1": [ 5230 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 494 ], + "A1": [ 5446 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12476 ], + "COUT": [ 12477 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5604 ], + "S1": [ 5433 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5101 ], + "A1": [ 452 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12477 ], + "COUT": [ 12478 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5294 ], + "S1": [ 450 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5155 ], + "A1": [ 451 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12478 ], + "COUT": [ 12479 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5211 ], + "S1": [ 449 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5033 ], + "A1": [ 5023 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12479 ], + "COUT": [ 12480 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5279 ], + "S1": [ 5264 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5022 ], + "A1": [ 5084 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12480 ], + "COUT": [ 12481 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5249 ], + "S1": [ 5196 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5543 ], + "A1": [ 480 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12481 ], + "COUT": [ 12482 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5541 ], + "S1": [ 5334 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5394 ], + "A1": [ 5377 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12482 ], + "COUT": [ 12483 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5381 ], + "S1": [ 5364 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5021 ], + "A1": [ 477 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12483 ], + "COUT": [ 12484 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5511 ], + "S1": [ 5449 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5141 ], + "A1": [ 5083 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12473 ], + "COUT": [ 12485 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5558 ], + "S1": [ 5319 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5492 ], + "A1": [ 479 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12484 ], + "COUT": [ 12486 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5479 ], + "S1": [ 5542 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5150 ], + "A1": [ 5140 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12485 ], + "COUT": [ 12487 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5226 ], + "S1": [ 5464 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5024 ], + "A1": [ 5038 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12487 ], + "COUT": [ 12488 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5227 ], + "S1": [ 5589 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 478 ], + "A1": [ 489 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12488 ], + "COUT": [ 12474 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5619 ], + "S1": [ 5526 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5415 ], + "A1": [ 5311 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12489 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5723 ], + "S1": [ 5761 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5547 ], + "A1": [ 5318 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12490 ], + "COUT": [ 12491 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5665 ], + "S1": [ 5733 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5557 ], + "A1": [ 5751 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12491 ], + "COUT": [ 12492 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5732 ], + "S1": [ 5753 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5554 ], + "A1": [ 5432 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12492 ], + "COUT": [ 12493 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5752 ], + "S1": [ 5714 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5241 ], + "A1": [ 5652 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12493 ], + "COUT": [ 12494 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5743 ], + "S1": [ 5653 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 466 ], + "A1": [ 5650 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12494 ], + "COUT": [ 12495 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5654 ], + "S1": [ 5651 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5232 ], + "A1": [ 5231 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12495 ], + "COUT": [ 12496 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5744 ], + "S1": [ 5731 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5246 ], + "A1": [ 461 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12496 ], + "COUT": [ 12497 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5730 ], + "S1": [ 5742 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5697 ], + "A1": [ 5310 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12497 ], + "COUT": [ 12498 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5698 ], + "S1": [ 5693 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 417 ], + "A1": [ 416 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12498 ], + "COUT": [ 12499 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 419 ], + "S1": [ 418 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5424 ], + "A1": [ 5412 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12499 ], + "COUT": [ 12500 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5701 ], + "S1": [ 5696 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5546 ], + "A1": [ 5309 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12489 ], + "COUT": [ 12501 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5741 ], + "S1": [ 5760 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5414 ], + "A1": [ 5699 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12500 ], + "COUT": [ 12502 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5694 ], + "S1": [ 5700 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5713 ], + "A1": [ 5413 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12501 ], + "COUT": [ 12503 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5715 ], + "S1": [ 5664 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5655 ], + "A1": [ 5548 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12503 ], + "COUT": [ 12504 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5656 ], + "S1": [ 5695 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5549 ], + "A1": [ 5429 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12504 ], + "COUT": [ 12490 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5657 ], + "S1": [ 5722 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5879 ], + "A1": [ 6288 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12505 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5866 ], + "S1": [ 6275 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5811 ], + "A1": [ 5712 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12506 ], + "COUT": [ 12507 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5798 ], + "S1": [ 6206 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5709 ], + "A1": [ 6304 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12507 ], + "COUT": [ 12508 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5783 ], + "S1": [ 6291 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6241 ], + "A1": [ 5831 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12508 ], + "COUT": [ 12509 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6228 ], + "S1": [ 5818 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5934 ], + "A1": [ 405 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12509 ], + "COUT": [ 12510 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5921 ], + "S1": [ 6144 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 406 ], + "A1": [ 404 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12510 ], + "COUT": [ 12511 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6159 ], + "S1": [ 6129 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5965 ], + "A1": [ 5702 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12511 ], + "COUT": [ 12512 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5952 ], + "S1": [ 5768 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 400 ], + "A1": [ 5735 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12512 ], + "COUT": [ 12513 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 383 ], + "S1": [ 5937 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5684 ], + "A1": [ 5672 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12513 ], + "COUT": [ 12514 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5987 ], + "S1": [ 6062 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6126 ], + "A1": [ 6187 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12514 ], + "COUT": [ 12515 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6113 ], + "S1": [ 6174 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5692 ], + "A1": [ 5675 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12515 ], + "COUT": [ 12516 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6017 ], + "S1": [ 6077 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5734 ], + "A1": [ 6257 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12505 ], + "COUT": [ 12517 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6260 ], + "S1": [ 6244 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5673 ], + "A1": [ 5689 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12516 ], + "COUT": [ 12518 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6047 ], + "S1": [ 6002 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5847 ], + "A1": [ 6203 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12517 ], + "COUT": [ 12519 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5834 ], + "S1": [ 6190 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5918 ], + "A1": [ 5674 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12519 ], + "COUT": [ 12520 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5905 ], + "S1": [ 6032 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5863 ], + "A1": [ 5902 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12520 ], + "COUT": [ 12506 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5850 ], + "S1": [ 5889 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5817 ], + "A1": [ 6224 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12521 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6395 ], + "S1": [ 6334 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 382 ], + "A1": [ 6112 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12522 ], + "COUT": [ 12523 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6399 ], + "S1": [ 6370 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 381 ], + "A1": [ 6227 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12523 ], + "COUT": [ 12524 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6400 ], + "S1": [ 6311 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6221 ], + "A1": [ 5814 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12524 ], + "COUT": [ 12525 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6401 ], + "S1": [ 6374 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5884 ], + "A1": [ 6094 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12525 ], + "COUT": [ 12526 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6337 ], + "S1": [ 6402 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6095 ], + "A1": [ 6093 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12526 ], + "COUT": [ 12527 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6366 ], + "S1": [ 6368 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5888 ], + "A1": [ 380 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12527 ], + "COUT": [ 12528 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6335 ], + "S1": [ 6309 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 379 ], + "A1": [ 5885 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12528 ], + "COUT": [ 12529 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6312 ], + "S1": [ 6336 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5968 ], + "A1": [ 5984 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12529 ], + "COUT": [ 12530 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6310 ], + "S1": [ 6307 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6092 ], + "A1": [ 6104 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12530 ], + "COUT": [ 12531 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6338 ], + "S1": [ 6343 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 353 ], + "A1": [ 354 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12531 ], + "COUT": [ 12532 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 351 ], + "S1": [ 352 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6223 ], + "A1": [ 6222 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12521 ], + "COUT": [ 12533 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6373 ], + "S1": [ 6365 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5979 ], + "A1": [ 5969 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12532 ], + "COUT": [ 12534 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6308 ], + "S1": [ 6404 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5815 ], + "A1": [ 6109 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12533 ], + "COUT": [ 12535 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6342 ], + "S1": [ 6339 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5883 ], + "A1": [ 5970 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12535 ], + "COUT": [ 12536 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6403 ], + "S1": [ 6367 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5816 ], + "A1": [ 5882 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12536 ], + "COUT": [ 12522 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6369 ], + "S1": [ 6396 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 304 ], + "A1": [ 6313 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12537 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 303 ], + "S1": [ 6469 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6386 ], + "A1": [ 6571 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12538 ], + "COUT": [ 12539 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6623 ], + "S1": [ 6558 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6391 ], + "A1": [ 342 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12539 ], + "COUT": [ 12540 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6590 ], + "S1": [ 6605 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6375 ], + "A1": [ 6361 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12540 ], + "COUT": [ 12541 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6513 ], + "S1": [ 6606 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6316 ], + "A1": [ 6376 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12541 ], + "COUT": [ 12542 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6726 ], + "S1": [ 6696 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6345 ], + "A1": [ 6347 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12542 ], + "COUT": [ 12543 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6528 ], + "S1": [ 6666 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6314 ], + "A1": [ 332 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12543 ], + "COUT": [ 12544 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6741 ], + "S1": [ 6543 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 347 ], + "A1": [ 6315 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12544 ], + "COUT": [ 12545 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6711 ], + "S1": [ 6486 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 333 ], + "A1": [ 330 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12545 ], + "COUT": [ 12546 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6823 ], + "S1": [ 6485 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6789 ], + "A1": [ 6330 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12546 ], + "COUT": [ 12547 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6776 ], + "S1": [ 6681 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6757 ], + "A1": [ 6805 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12547 ], + "COUT": [ 12548 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6756 ], + "S1": [ 6792 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6356 ], + "A1": [ 6344 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12537 ], + "COUT": [ 12549 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6436 ], + "S1": [ 6437 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 331 ], + "A1": [ 6377 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12548 ], + "COUT": [ 12550 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6808 ], + "S1": [ 6484 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6325 ], + "A1": [ 6418 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12549 ], + "COUT": [ 12551 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6421 ], + "S1": [ 6405 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 305 ], + "A1": [ 6346 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12551 ], + "COUT": [ 12552 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 302 ], + "S1": [ 6454 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6651 ], + "A1": [ 6587 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12552 ], + "COUT": [ 12538 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6638 ], + "S1": [ 6574 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6960 ], + "A1": [ 6451 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12553 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6961 ], + "S1": [ 6979 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6622 ], + "A1": [ 6512 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12554 ], + "COUT": [ 12555 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6862 ], + "S1": [ 6864 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6504 ], + "A1": [ 6880 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12555 ], + "COUT": [ 12556 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6872 ], + "S1": [ 6883 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6501 ], + "A1": [ 6881 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12556 ], + "COUT": [ 12557 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6865 ], + "S1": [ 6882 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6662 ], + "A1": [ 6656 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12557 ], + "COUT": [ 12558 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6914 ], + "S1": [ 6923 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6502 ], + "A1": [ 6654 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12558 ], + "COUT": [ 12559 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6855 ], + "S1": [ 6922 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6665 ], + "A1": [ 6503 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12559 ], + "COUT": [ 12560 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6915 ], + "S1": [ 6854 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6657 ], + "A1": [ 273 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12560 ], + "COUT": [ 12561 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6913 ], + "S1": [ 272 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6768 ], + "A1": [ 6840 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12561 ], + "COUT": [ 12562 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6930 ], + "S1": [ 6841 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6773 ], + "A1": [ 6655 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12562 ], + "COUT": [ 12563 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6931 ], + "S1": [ 6912 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6938 ], + "A1": [ 6758 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12563 ], + "COUT": [ 12564 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6940 ], + "S1": [ 6939 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6969 ], + "A1": [ 6970 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12553 ], + "COUT": [ 12565 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6971 ], + "S1": [ 6972 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6759 ], + "A1": [ 6838 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12564 ], + "COUT": [ 12566 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6911 ], + "S1": [ 6839 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 319 ], + "A1": [ 314 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12565 ], + "COUT": [ 12567 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6962 ], + "S1": [ 6953 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6951 ], + "A1": [ 6446 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12567 ], + "COUT": [ 12568 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6952 ], + "S1": [ 6980 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6619 ], + "A1": [ 6509 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12568 ], + "COUT": [ 12554 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6863 ], + "S1": [ 6873 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7021 ], + "A1": [ 247 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12569 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7019 ], + "S1": [ 245 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6843 ], + "A1": [ 7178 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12570 ], + "COUT": [ 12571 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7217 ], + "S1": [ 7165 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7194 ], + "A1": [ 7199 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12571 ], + "COUT": [ 12572 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7181 ], + "S1": [ 7198 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7114 ], + "A1": [ 7200 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12572 ], + "COUT": [ 12573 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7101 ], + "S1": [ 7197 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7334 ], + "A1": [ 7303 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12573 ], + "COUT": [ 12574 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7321 ], + "S1": [ 7290 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7130 ], + "A1": [ 7272 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12574 ], + "COUT": [ 12575 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7117 ], + "S1": [ 7259 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7350 ], + "A1": [ 7146 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12575 ], + "COUT": [ 12576 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7337 ], + "S1": [ 7133 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6892 ], + "A1": [ 7074 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12576 ], + "COUT": [ 12577 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7306 ], + "S1": [ 7072 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7433 ], + "A1": [ 274 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12577 ], + "COUT": [ 12578 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7420 ], + "S1": [ 7073 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7386 ], + "A1": [ 6891 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12578 ], + "COUT": [ 12579 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7373 ], + "S1": [ 7275 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7354 ], + "A1": [ 7402 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12579 ], + "COUT": [ 12580 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7353 ], + "S1": [ 7389 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7000 ], + "A1": [ 7022 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12569 ], + "COUT": [ 12581 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6987 ], + "S1": [ 7020 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6890 ], + "A1": [ 275 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12580 ], + "COUT": [ 12582 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7405 ], + "S1": [ 7071 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 246 ], + "A1": [ 7052 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12581 ], + "COUT": [ 12583 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 244 ], + "S1": [ 7039 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7068 ], + "A1": [ 7016 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12583 ], + "COUT": [ 12584 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7055 ], + "S1": [ 7003 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6844 ], + "A1": [ 7162 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12584 ], + "COUT": [ 12570 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7232 ], + "S1": [ 7149 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7576 ], + "A1": [ 7556 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12585 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7577 ], + "S1": [ 7557 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7209 ], + "A1": [ 7092 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12586 ], + "COUT": [ 12587 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7481 ], + "S1": [ 7471 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7097 ], + "A1": [ 7460 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12587 ], + "COUT": [ 12588 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7472 ], + "S1": [ 7461 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7089 ], + "A1": [ 7479 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12588 ], + "COUT": [ 12589 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7464 ], + "S1": [ 7480 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7255 ], + "A1": [ 7249 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12589 ], + "COUT": [ 12590 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7512 ], + "S1": [ 7521 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7090 ], + "A1": [ 7247 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12590 ], + "COUT": [ 12591 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7452 ], + "S1": [ 7520 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7258 ], + "A1": [ 7091 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12591 ], + "COUT": [ 12592 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7513 ], + "S1": [ 7453 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7250 ], + "A1": [ 219 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12592 ], + "COUT": [ 12593 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7511 ], + "S1": [ 220 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7365 ], + "A1": [ 7438 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12593 ], + "COUT": [ 12594 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7529 ], + "S1": [ 7439 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7370 ], + "A1": [ 7248 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12594 ], + "COUT": [ 12595 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7528 ], + "S1": [ 7510 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7536 ], + "A1": [ 7355 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12595 ], + "COUT": [ 12596 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7537 ], + "S1": [ 7538 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 256 ], + "A1": [ 7574 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12585 ], + "COUT": [ 12597 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7558 ], + "S1": [ 7575 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7356 ], + "A1": [ 7436 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12596 ], + "COUT": [ 12598 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7509 ], + "S1": [ 7437 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7565 ], + "A1": [ 7031 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12597 ], + "COUT": [ 12599 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7566 ], + "S1": [ 7578 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7038 ], + "A1": [ 264 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12599 ], + "COUT": [ 12600 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7567 ], + "S1": [ 7579 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7216 ], + "A1": [ 7100 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12600 ], + "COUT": [ 12586 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7462 ], + "S1": [ 7463 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7546 ], + "A1": [ 7587 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12601 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7783 ], + "S1": [ 7586 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7705 ], + "A1": [ 7592 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12602 ], + "COUT": [ 12603 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7704 ], + "S1": [ 7590 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7695 ], + "A1": [ 7440 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12603 ], + "COUT": [ 12604 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7693 ], + "S1": [ 7667 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7711 ], + "A1": [ 7706 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12604 ], + "COUT": [ 12605 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7709 ], + "S1": [ 7703 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7789 ], + "A1": [ 7669 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12605 ], + "COUT": [ 12606 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7787 ], + "S1": [ 7668 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7764 ], + "A1": [ 7664 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12606 ], + "COUT": [ 12607 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7751 ], + "S1": [ 7662 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7784 ], + "A1": [ 7732 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12607 ], + "COUT": [ 12608 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7782 ], + "S1": [ 7719 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7490 ], + "A1": [ 216 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12608 ], + "COUT": [ 12609 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7591 ], + "S1": [ 7582 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7628 ], + "A1": [ 218 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12609 ], + "COUT": [ 12610 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7615 ], + "S1": [ 7646 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7748 ], + "A1": [ 7489 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12610 ], + "COUT": [ 12611 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7735 ], + "S1": [ 7699 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7663 ], + "A1": [ 7700 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12611 ], + "COUT": [ 12612 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7661 ], + "S1": [ 7698 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7612 ], + "A1": [ 7545 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12601 ], + "COUT": [ 12613 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7599 ], + "S1": [ 7585 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7488 ], + "A1": [ 217 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12612 ], + "COUT": [ 12614 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7694 ], + "S1": [ 7767 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7790 ], + "A1": [ 205 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12613 ], + "COUT": [ 12615 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7788 ], + "S1": [ 203 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 204 ], + "A1": [ 7555 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12615 ], + "COUT": [ 12616 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 202 ], + "S1": [ 7631 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7442 ], + "A1": [ 7712 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12616 ], + "COUT": [ 12602 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7581 ], + "S1": [ 7710 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7975 ], + "A1": [ 7982 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12617 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7974 ], + "S1": [ 7981 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7793 ], + "A1": [ 177 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12618 ], + "COUT": [ 12619 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7796 ], + "S1": [ 174 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7946 ], + "A1": [ 7971 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12619 ], + "COUT": [ 12620 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7945 ], + "S1": [ 7970 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7989 ], + "A1": [ 7935 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12620 ], + "COUT": [ 12621 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7992 ], + "S1": [ 7934 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8008 ], + "A1": [ 7832 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12621 ], + "COUT": [ 12622 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7993 ], + "S1": [ 7823 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7717 ], + "A1": [ 7815 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12622 ], + "COUT": [ 12623 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7822 ], + "S1": [ 7814 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8003 ], + "A1": [ 7715 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12623 ], + "COUT": [ 12624 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7994 ], + "S1": [ 7942 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7939 ], + "A1": [ 7986 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12624 ], + "COUT": [ 12625 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7938 ], + "S1": [ 7985 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7596 ], + "A1": [ 7597 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12625 ], + "COUT": [ 12626 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7883 ], + "S1": [ 7864 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7716 ], + "A1": [ 7807 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12626 ], + "COUT": [ 12627 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7798 ], + "S1": [ 7797 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7899 ], + "A1": [ 7872 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12627 ], + "COUT": [ 12628 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7898 ], + "S1": [ 7871 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7595 ], + "A1": [ 7931 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12617 ], + "COUT": [ 12629 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7978 ], + "S1": [ 7930 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7868 ], + "A1": [ 7718 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12628 ], + "COUT": [ 12630 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7867 ], + "S1": [ 7882 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7876 ], + "A1": [ 7840 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12629 ], + "COUT": [ 12631 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7875 ], + "S1": [ 7839 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7819 ], + "A1": [ 7598 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12631 ], + "COUT": [ 12632 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7818 ], + "S1": [ 7879 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7927 ], + "A1": [ 7923 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12632 ], + "COUT": [ 12618 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7926 ], + "S1": [ 7922 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7950 ], + "A1": [ 7952 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12633 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8015 ], + "S1": [ 8016 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 155 ], + "A1": [ 154 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12634 ], + "COUT": [ 12635 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8186 ], + "S1": [ 8020 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7918 ], + "A1": [ 7949 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12635 ], + "COUT": [ 12636 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8011 ], + "S1": [ 8127 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7966 ], + "A1": [ 7903 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12636 ], + "COUT": [ 12637 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8124 ], + "S1": [ 8085 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8133 ], + "A1": [ 8115 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12637 ], + "COUT": [ 12638 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8131 ], + "S1": [ 8113 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8071 ], + "A1": [ 156 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12638 ], + "COUT": [ 12639 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8058 ], + "S1": [ 8123 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8134 ], + "A1": [ 7913 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12639 ], + "COUT": [ 12640 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8132 ], + "S1": [ 8043 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7904 ], + "A1": [ 7961 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12640 ], + "COUT": [ 12641 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8019 ], + "S1": [ 8012 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8116 ], + "A1": [ 7843 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12641 ], + "COUT": [ 12642 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8114 ], + "S1": [ 8185 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8025 ], + "A1": [ 8191 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12642 ], + "COUT": [ 12643 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8023 ], + "S1": [ 8189 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7855 ], + "A1": [ 7845 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12643 ], + "COUT": [ 12644 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8081 ], + "S1": [ 8080 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7951 ], + "A1": [ 7921 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12633 ], + "COUT": [ 12645 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8151 ], + "S1": [ 8110 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7844 ], + "A1": [ 8026 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12644 ], + "COUT": [ 12646 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8084 ], + "S1": [ 8024 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7863 ], + "A1": [ 173 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12645 ], + "COUT": [ 12647 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8166 ], + "S1": [ 8128 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 143 ], + "A1": [ 7846 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12647 ], + "COUT": [ 12648 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 141 ], + "S1": [ 8109 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 142 ], + "A1": [ 7902 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12648 ], + "COUT": [ 12634 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 140 ], + "S1": [ 8190 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8261 ], + "A1": [ 8257 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12649 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8260 ], + "S1": [ 8256 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 115 ], + "A1": [ 8209 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12650 ], + "COUT": [ 12651 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 112 ], + "S1": [ 8208 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8356 ], + "A1": [ 8201 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12651 ], + "COUT": [ 12652 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8355 ], + "S1": [ 8200 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8205 ], + "A1": [ 8391 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12652 ], + "COUT": [ 12653 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8204 ], + "S1": [ 8376 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8369 ], + "A1": [ 8242 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12653 ], + "COUT": [ 12654 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8360 ], + "S1": [ 8241 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8040 ], + "A1": [ 8286 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12654 ], + "COUT": [ 12655 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8309 ], + "S1": [ 8285 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8352 ], + "A1": [ 8035 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12655 ], + "COUT": [ 12656 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8338 ], + "S1": [ 8359 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8347 ], + "A1": [ 8238 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12656 ], + "COUT": [ 12657 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8337 ], + "S1": [ 8237 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8197 ], + "A1": [ 8306 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12657 ], + "COUT": [ 12658 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8196 ], + "S1": [ 8305 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8302 ], + "A1": [ 8298 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12658 ], + "COUT": [ 12659 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8301 ], + "S1": [ 8297 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8249 ], + "A1": [ 8290 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12659 ], + "COUT": [ 12660 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8248 ], + "S1": [ 8289 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8150 ], + "A1": [ 8253 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12649 ], + "COUT": [ 12661 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8245 ], + "S1": [ 8252 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8294 ], + "A1": [ 8213 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12660 ], + "COUT": [ 12662 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8293 ], + "S1": [ 8212 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8147 ], + "A1": [ 8422 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12661 ], + "COUT": [ 12663 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8413 ], + "S1": [ 8412 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8404 ], + "A1": [ 8409 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12663 ], + "COUT": [ 12664 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8395 ], + "S1": [ 8394 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8386 ], + "A1": [ 8334 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12664 ], + "COUT": [ 12650 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8377 ], + "S1": [ 8333 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8219 ], + "A1": [ 8233 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12665 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8782 ], + "S1": [ 8797 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[10].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 100 ], + "A1": [ 108 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12666 ], + "COUT": [ 12667 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8812 ], + "S1": [ 8607 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[12].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8313 ], + "A1": [ 102 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12667 ], + "COUT": [ 12668 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8475 ], + "S1": [ 8644 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[14].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 103 ], + "A1": [ 8555 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12668 ], + "COUT": [ 12669 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8445 ], + "S1": [ 8542 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[16].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8915 ], + "A1": [ 8217 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12669 ], + "COUT": [ 12670 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8902 ], + "S1": [ 8827 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[18].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8284 ], + "A1": [ 8264 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12670 ], + "COUT": [ 12671 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8887 ], + "S1": [ 8520 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[20].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8966 ], + "A1": [ 8882 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12671 ], + "COUT": [ 12672 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8953 ], + "S1": [ 8869 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[22].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8948 ], + "A1": [ 8216 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12672 ], + "COUT": [ 12673 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8935 ], + "S1": [ 8490 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[24].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 101 ], + "A1": [ 8281 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12673 ], + "COUT": [ 12674 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8710 ], + "S1": [ 8505 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[26].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8276 ], + "A1": [ 8267 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12674 ], + "COUT": [ 12675 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8460 ], + "S1": [ 8740 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[28].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8218 ], + "A1": [ 8265 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12675 ], + "COUT": [ 12676 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8560 ], + "S1": [ 8920 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8236 ], + "A1": [ 8228 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12665 ], + "COUT": [ 12677 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8842 ], + "S1": [ 8725 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[30].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8266 ], + "A1": [ 111 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12676 ], + "COUT": [ 12678 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8608 ], + "S1": [ 8695 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[4].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8690 ], + "A1": [ 89 ], + "B0": [ "0" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12677 ], + "COUT": [ 12679 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8677 ], + "S1": [ 87 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[6].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 88 ], + "A1": [ 8672 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12679 ], + "COUT": [ 12680 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 86 ], + "S1": [ 8659 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.slice[8].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8588 ], + "A1": [ 8312 ], + "B0": [ "1" ], + "B1": [ "1" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12680 ], + "COUT": [ 12666 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 8575 ], + "S1": [ 8767 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14044.$auto$alumacc.cc:474:replace_alu$14284.slice[0].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8758 ], + "A1": [ 8763 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "1" ], + "COUT": [ 12681 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9318 ], + "S1": [ 9107 ] + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14044.$auto$alumacc.cc:474:replace_alu$14284.slice[2].ccu2c_i": { + "hide_name": 1, + "type": "CCU2C", + "parameters": { + "INIT0": 26282, + "INIT1": 26282, + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:58" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 8766 ], + "A1": [ 8643 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 12681 ], + "COUT": [ 12682 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 9329 ], + "S1": [ 9265 ] + } + }, + "calc_rom.rom.0.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00000000000000000000000000000000000000000000101011001110110100011111101011111111000110111110111100110001011011101111011100001100111001111001000010100000000110000001010001000001111100001000100001110111000101000100001110010001111011100011011100011101000000000000000000000000011110100000010000001000100100000011101000111010", + "INITVAL_01": "00000010100011100000000110000000111011000001100000001000000000011001101011111011000001010010000010110000100101000000101000001010010010010000000000110010100110010000111001001010010000001000110001001100000100111110000000100000000110001011111000001010110011111111000101111100111111110000110110001000110000000010000001100000", + "INITVAL_02": "00000010001000011001000111000010010000010000011001000001011100001110011001111110000111010100000010100000000010000100010100000100101000111111000010010100001010010001001001101100000100001110101010010111000100111110111110110001111111001111000000001010110001000000000001000100000111010001011111001010000000010011001001110111", + "INITVAL_03": "00001110000000011000000000111110100001100000000011000000001000000000110001101100000000100010001110000001100100101110100000000110011001110100000110110000100111010001001001100010010000011101100011011000000010011000110010110001001111000011011100001001100010011001000101101110000001100000001101100000001000000000100011100101", + "INITVAL_04": "00001011100000010011000101011010011100000001101010000000001000001101000000111111000100000000111011100001010011001101000000000110000001001010000010010100000000000000110011001110010000010011101001111001000011101110011011100001100110101101101100000000010010000011000000000110010111110000101111000011110000000111001000100110", + "INITVAL_05": "00011000001010010011000000000000100011110001000011100110000100001111011010010111000000011010000001110000111000100010000100001011101010011100000101001110111111010001101101001111001100010111010000000000000101010100100101110000111011100000000000010011000010000000000111011000100000010000001000100000101000000100100011101011", + "INITVAL_06": "00001100110001001011000111010110101011100000001001100000101000000101011010000010000000001000000111000001001011100011010000000100001011000001000000111000000011100000000000100101110000000110011011100110000010000100011000010001000110101101000100000110001000000111000111000000101000110000000011100000000100011000001001111000", + "INITVAL_07": "00001110100000110111000011101000010011000000011010000110001000011001011011001011000111110110111110000000000001101110000100000001011001110100000010011100110101010001100000000101110000011011001010001101000111100100011001100001001110101001100100000100110000010001000100000110001011100001100000000001000000010000011001101010", + "INITVAL_08": "00000000101000100010000010001010000011010000001101000110000100000110010010000111000010111010111010100000100110000100111100011111100010111110000011101100010000010001111111000100100000001100110010110111000100000110101011110001110001101100100000001101110001001101000000010010011111110001100101100100000100010100011000000000", + "INITVAL_09": "00000101100000001000000000010010101101010001101100000100000000010110101011100011000001001110010000110000011111101101000000010000100010010010000011011010110010010001101000101100010000011000000001100100000100100000010100010000100010001010010000001000010001001001000010010000100101010001000110000100110000000000110001101001", + "INITVAL_0A": "00010000010000101000000100000000100010000000010001000000110100011010111001110111000011101100011110000001000001000010001000000101000010001000000110110110000011110001010100100111011000011110110000000001000100000110011111100000000100000001000000011001001011110100000010010000001001010001000100101010110000000001111000110001", + "INITVAL_0B": "00010000010000000001000000000000000000000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000001010000000010000010000001000001001000100000000000000000000000000010000000010000000100000000000000000000000000000100000010001001000000000000000000000000000001000000100000000011000000100000", + "INITVAL_0C": "00010110011010111001000111100110110111110001111000000010100000000100000001000011000111110010100010110001000011100100100100010001011001001001000100100000100010110001000110000100000100011001000000100010000001000100000000100000110011100111011100001110111001110111000001000100001000100000010001000010001000001001000000100000", + "INITVAL_0D": "00010011111010101001000110010010001110000000110010000111001000000010000001000111000011011100001010100000011110100011111000011010101001110110000010000000100111110000110100101100110100001010100001011111000010011110110011100001000100101111010000011111100001100000000011000000010000000000010110000001100000001111011011010100", + "INITVAL_0E": "00000011001010000000000000000110001100000000000000000111100000000110000010000000000010111100001010000000111100101000000100010110001010110111000011010000001110000000011110000001010100001000100000111010000000001100011101000000000111000100100000001100100010000001000001001110100000110001101010001111010000011111011000111110", + "INITVAL_0F": "00011010101001000001000001110110101101000000000000000010110000001011111000001110000000110110001110000000011110001010100100001110000010100010000010000000000000100000110100000000000000001001110000000000000000010010111000000000000000100010000000000000000000110101000100000000000001100001111010000000000000011000101000000000", + "INITVAL_10": "00011101000010000100000010000000110111000001111010001001100000000101010001111011000111111000010001000001100100101110000000000110000000000101000110001100011110010001100001001001000000010100000010010010000011010100100111000001001000101000110000011111101000101010000010011110100011100001100000100010011000011010101000010101", + "INITVAL_11": "00011000100011100000000010111110100000100001000110000010100100011110010010110000000111000000110000000000100011001100011000001110100000000001000011110100010011110001000000001100000100000001000000010100000010000010011100110001011111101111010000000111000011000000000011010000001100100000110001101001000000000110010000000001", + "INITVAL_12": "00001011000011000110000011000110111000100001010011101001011000000111110000110100000101110010011000000000001011100101100100010011011000110010000010010110111100100001110111101101000100000011011001001110000100010010101010000001111010000101111100011101001000110111000001001110111110100000111011101110101000010001000011100101", + "INITVAL_13": "00000100111001010000000010011000111101000001001101000011100000010110001000000010000100011100001101010001100011100001000000001101000010110011000001010100100100010000010000001001001100011001011010010010000100101110001101100001111000001000001000011101011010000100000011000010110010110001000001000000011000011001111000100110", + "INITVAL_14": "00011100110001101000000010101000101000000000010101000010011000001101011010100101000010000010101000010001111001001000001100000101111010110011000101001010110011100000010101101001001000010111111000110111000010000100100010000001001100000111111000010111011011101110000001010000011101110001010001001101010000000101010010011010", + "INITVAL_15": "00010000110011001010000001001100100011010000101001100101000100000111010001110111000111110010101000100001000101100001000000000101000011100011000010001110100000010001100011000001101000011101100010010011000001100100101010010000001001001111000000001101010000100110000010011100000010100000011100100011001100001010010011010010", + "INITVAL_16": "00010010011011101001000111101100010110000001101000101000111000010001111001101010000111100100011001110000001101100101111100010100011001100110000011011010011001100001000010100011110100011011011001000111000010001110111011110000011110100111101000010111101000010100000101101000100110110000000001001100100100010100000000001001", + "INITVAL_17": "00010101101000110111000011000100010011000001001000100110010100000001111011101110000101100100000110000000010001001110010000000110100001010000000010100010000011010000011110001010001100001001011010010100000010101000111100100000110110000000100100010101110000011110000011101000111001110001101110101011110100010100100011011101", + "INITVAL_18": "00000000010010001001000001001110111100100000000000101100100100011001111000111001000001010100010100100000000010001010000000010011000011110000000011001110110111010000111001000111000100010111110001001001000101001000110110010000011010101001001100000010101010001001000101101010110011100000010111001110101000001011010001001001", + "INITVAL_19": "00011100001011011111000001111100010000100000100110101011000000000000110010011010000010110000000000110000000100101001001100000110110001001111000010111000110100110000111001101000100100010111001010010111000101100000011010010001011010001011101000000110100010010111000000010000000010000001010111100000111100001000110000100110", + "INITVAL_1A": "00001111011010000010000101010010001111110000000011101001111000010011100011010011000010100110100010010000010111000010111100010100111011101111000001101100100110010000011011000100110100010001001000000001000100101100011110010001011011001100111000010011101001010100000011000010100111010001111011000000011100011111010000001100", + "INITVAL_1B": "00001000101000000100000101111000011000000000100101101100110000001110000000110010000011100010110000010000010111100011000100011100000011001001000110000110100001000001111000000111110100000111111011111011000110001000111110000001110111101010101100001100011000010000000001100010100000110000000100100000000100010000001000011101", + "INITVAL_1C": "00010011000010101001000011000110101100010001010011101110011000000111100010010011000111010000100111110000111010001001101100001101111001001000000101101000100111000001100111000000000100000100101010010010000000101100100111000000000001000100101100000100100000101101000010100100110110100000001001001110001000010000100010001000", + "INITVAL_1D": "00010100100001011011000001100100011010110001101110101110000000011110111001110111000100100010101000100000101011101110100100001010011000100100000101111000000100010001111101101101100100000110100010010011000000101000101100000001001110001010000000000000000000000000000000000000000000000000111111000010010000010001011001100000", + "INITVAL_1E": "00011100110010011001000010011110000001010000100110001100001000010001010001101000000010011000011100000000100111100001010000000010011001000101000000001000100100100000001110100000100100010001110000011010000011010000001001100000000000100010111000000001001000010000000101110100001011100001111101100001011100001111101000000111", + "INITVAL_1F": "00011011001000101010000010000100010000100000101000000011001100001100000000100000000010011010101001000001001011000000000100000111110000110100000011001110111111110000100010101001110100011001100011100001000110000110011100000000000001000001010100011010100000000000000110010100010111000000010101000010100100000011111000110110", + "INITVAL_20": "00001101111011011100000110010000001000010000101000001001000000011100000001000101000000101000101101000001101100101101000000011100001010110010000001110000011011000001100010101100000100010000111000110010000111110000100100110000110011001100101000000010100010011101000000100010011010000000010000001010000000011001011010100001", + "INITVAL_21": "00001011010001000110000000000010101101000001110001001000111100000001100010000000000100111010001011100000110100001001010100000101100011010100000001010000010111000000000100001001000000000000011000000110000100010110001101000000100110101010100000001000111011011010000111000100000111100001001011101010101100001000001000101010", + "INITVAL_22": "00011000111000001111000110000000001100100000000100100000111000001111101011000101000000100100100000110000100001101100011000001000001001000000000000100000001101000001101010000000011000011000011011101010000000001010000000100000101000001000100000011010100000111011000000011100001111000001101101001100001100010110100000101000", + "INITVAL_23": "00000010110001010101000001010010010011110001101001000111010000011111111010100010000010010110100110100000101111100100110100011000111011001011000101011000110000010001110100101101010100011011111000000010000000001110111011000000110100100100110100011111010010011110000010100100011001010000011000001011110100001000101010000011", + "INITVAL_24": "00000111010000111100000111000110100000000000111110100001011000000100001000000001000110000000001011110001011011100100101100011111110010101001000110101000010000110000110000001101100000000000001010000001000111010100100100000000010100101011001100011010110000100110000011001100100010110001000010100101001000010100111010011100", + "INITVAL_25": "00011110111010010001000101010010111101110001001010101010100100011110111010010001000111110100101111010000010011100100111100011010011011100101000010011010110100100001000111101010010100000010111001001001000110100100100001110001010010100001111100000100010011000111000011101110010011000001111101100101000000011100010000010000", + "INITVAL_26": "00011011010000000000000100011000000001010000110001100011000100000101010010111000000010011100101011100000101011101010100100001111110010011011000101001110110110100000001110000000001000001011110011110001000101011100101111100001111000000010111000010111110010000111000101111000010011010000100111101011110000001101111010011101", + "INITVAL_27": "00001111111000110110000100110010001111100000101100100001001100010100011011001001000001110000100011110001111110000101001000001001010011101111000110111110101001000001100100101001101000001011001000100110000111010000001100000001111101101011011100011101110011011111000101110110011111100001100000101110010000010010110000000101", + "INITVAL_28": "00000110100000000001000010000000000000110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100001010100000011100000101111000010011110000001111000000000000010001011000111101", + "INITVAL_29": "00000000010001101001000100000100010100010000000000000000000100000110100010000001000001011000001000000000100110100010000000001001001000010100000000000010001101000001100000100010100000001100000001001101000001100000010010010000000100000001001100001001100000010010000110000100000001000001101001100000010000010000000000000001", + "INITVAL_2A": "00000100111010010000000000010010101001000000000100100110010000000000010001101001000000000100010110010000000000001001101000001000000010010110000010000000001001100001001000000010010100010010000010000000000100110100011000000001001010000100000000000100110010011000000001001010000100000000000100101010011000000001001001000100", + "INITVAL_2B": "00010011010001000000000100101000010000000000010011001001000000000100101000010000000000010010101001000000000101101101111000000000010001101001000000000100010100010000011100000000100100010100110000001001000010001000000000100000110100101000001000001010001000000000000100110100011000000001001010000100000000000100110010011000", + "INITVAL_2C": "00000100110010011101000000101100110010000000001001100100100000010101101000101101000100100000001001100001001010100101101000001011011000100000000000001000110100110000010101100101101100000100000001001101000001100100101001010001011001000000010000011010011000101010000110110010000000100000110100101100001000001010001000000000", + "INITVAL_2D": "00000101111011010011000000010000110110010001000000000000110000000100101000000000000000010010001010110000010010101111000000001001011000100000000000110010101000000000010100100011011100010100001000110111000010000000010001000000000100101010011000001001100000100011000011001000000010010001010011000100010100010001100010010000", + "INITVAL_2E": "00000101000000100100000011010010010100010000110000001100100100001000000011101011000000111010000000000000010000001001010100010100101000001001000010001000000001000001100110100010100000001001000000011000000001001000011010010001100001000100000000000100000000000010000010110000110010010001100001100010000000001001001001011001", + "INITVAL_2F": "00010011000010110011000101101000100011000000111100101100000100000100011001010111000000000100001001000000010101101010100000010011001001100111000011100000001001110001101111000101001100000110101001100111000001010000110000100000111000000100101000011010010000100010000110110010000001000001100110100010101000000101100000011001", + "INITVAL_30": "00010000010010110001000101000000100111100001000100100000010100000111110001011011000001001100101100010001000010101110110000001100101011010110000011010010101101100001101101100111001100001111011010001001000001001000001110010000000111000100000000000100110011110010000010011010100001100000111100000001100100010100100000111010", + "INITVAL_31": "00010000000011101010000011111100101111110000101110000100110000000001001011111011000111000100000000100001111111001010000000001001101000110111000010011010001011100000000010001110000000001001100000001011000110001100110000000001111000000010011000001011001000101111000011101000010101100000011000001011100100001101011000011000", + "INITVAL_32": "00000000100000000101000011111100101001000000000100101011011000010111101000100100000111110000000100110000100111101001101100011111101001000000000010011010110011100000000001101000001000011000000010011010000111010110000000100000110011001001000000000100110010011011000010111010010000000000001001001110100100000010001010011111", + "INITVAL_33": "00011110011011111001000111101110110111110001001111101000001000010000000000001011000001010010111110100000000000100100001100001000000010011011000000100110110111110001111001000011110100010011111001111111000011000000101000000001001011101001110000000000110000001000000111100010100101010001110000000010011000011111011011001000", + "INITVAL_34": "00001001011000100010000110111010011101000001000101100010110000011110110001100000000000001000110000110000000000000100011100001000100000110010000110000010010100100001011101001001011000010110010000000101000000111110000011010001011100000010011100011001000011001111000000001100001111000000010000100100000000010011111001011000", + "INITVAL_35": "00010001011000101101000110110000111001000000101010100111001000000001110000010111000101011110110111010000001100000100011100010111111011010111000000000010001011000000001100101111000000001001110010110111000101001110011000110000011111000011011000011101011001110110000110110000100110110000011110101110111000010101100010010110", + "INITVAL_36": "00010100100000010110000111000100110101110001010101000001101000010110110011110001000001100100101111110000011101100100011000011001001011011001000100101010000000000000100110101110000100010010110010101100000011010100000000100000101001000100100100000111011000101010000111101010100001010000010010000100111100001011111010111110", + "INITVAL_37": "00010010100011110111000000011010011101010000010101101000101100010011101010010100000101100100001011010001001011001110101100011101011000011001000100110000000110100001110100001111101100000011101000101000000010100000011110010000111111001100001100011101011011110101000110110100010001010001000000001010000100010000000000010100", + "INITVAL_38": "00001111000001110110000100001110010110000000111011100110001100000011001001010100000010010000001110010000001011000100101100010001001010011001000001011100000001110000100100101001011000000101101001011001000011011110101001010001111100001000000100010101101010101000000000010010110111000001011101101101011100011100100000010001", + "INITVAL_39": "00001101010000011111000011100000101001010000001100100010100100000101111010100000000001000100001110100000000000000000000000000000010000000110000010100110101011000000101010101000100100011101011010110010000001110000100001100000011111000010001000001010011001111000000100010000001001100001000011100101100000001110100010000111", + "INITVAL_3A": "00010000110010101100000111000100110011100001010110000000100100010100111001001001000101100110111100110000100000001000001100000001000000010011000010011000100100010000001000001000001000000001000010111000000100110110100101100000100011000001001000000110110010100110000000111000011011010000000011101101011000010110010010000011", + "INITVAL_3B": "00011101010011100101000101100000001001100001011011001111111000011010000010001000000101110010001011100001001111100101100100011010111001011000000001001010101001100000111010101100000000001001101001101000000010000110010011000001110101100100111000000101100011101011000110000000100110100001001010000000100100010101000001100000", + "INITVAL_3C": "00010010011011110101000111110100100100100000100011101010111100011010100000100000000000000110110101110001110101000100000000010011010011100011000000001000000110100001100110000111111000011111010010011010000010010100011100000001111111001111010000011010111011101111000110111000100100110000110000001101111000010111001000011101", + "INITVAL_3D": "00010101111011010111000011100010101011010000000001000101010000000101000000011010000110110100111000010000101111001011111100001001001011111010000111111010011001000000110001001111010100011111010001001111000110101110111010110001111101000001011100010101111011010010000011111100101111110000101110101001001000010111101001111110", + "INITVAL_3E": "00001110101000100000000010110000001111000000000100101111000000000000010010100110000000100000110101010001100101000111111000010100111011101010000111100000100111110001010111101101010100001110000001001111000110101110111010100001111000000010011100011101011011110101000010111000010011110001101011101110101000011110000010011111", + "INITVAL_3F": "00001001110000000011000100010000111001110000011100000100111000000100011010001000000111001110001110000000000111000010001100010011100011100111000001111010010011110001101011101110100100000111111001011111000101100110000001000001111001100010000000001011111001101001000011011110000000000000010010000100101000001010110000110000", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9570 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9470 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.0.1.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00011100010000111000000110011100001000110001000110001110001000000111000011001110000001000110100011000001110001000011100000011001110000100011000100001000111000000000011100000100111000000000011010000100000111000000001110000000100111000000001100010000100011100000000001110000010011100000000001101000010000011100000000111000", + "INITVAL_01": "00000010101000011100000110011010010000010000100110000111011000011001001000101100000001010100110000100000110001101000010000011100000000111000000010011100000000110001000010001110000000000111000001001110000000000110100001000001110000000011100000001001110000000011000100011000111000100000011100001100111000000100011010001100", + "INITVAL_02": "00001101000010010111000001000010010100100000110101000100111000001100110000101001000001101100001111000001011011101100010100011001100001000101000101101000001100010000100011000101000000000011101000110001000001001110001110100000001001101110011000000001000001000010000100100010111001010001100000001110010100001101101010011000", + "INITVAL_03": "00010001000001010010000110011010111010000001100001000000101000000100110001011010000110111110011101110001011010000100010000001111101000111111000001000100000010000000000001100010100000000111100001111000000110101000010100000000011101001110111000001001001011110100000110111010100010000001000011000100111000011011101010110010", + "INITVAL_04": "00000100011001111110000100100110111011110001111001100010111000001001100000110000000010111010101000000001100010000000111100000000101011000000000000100110001010100001000100000001001000011111000000001011000010111110000110100000000100101000011100000110010011010001000100011100001100010001000110101100000100000000101000110010", + "INITVAL_05": "00010111111011000010000100000110010101100001100100100011010000011100010000000110000001010000000011000000011111101011010000000111100001101111000101011010101110100000001100001100111100010001001010100000000110100110000010100001001001000101100000000000000011011001000001101100110000010000011001100011111100011011011011111000", + "INITVAL_06": "00010010010011001001000101100000000100110000011101000011110100011000101010010111000001000010110011000000101101000111000100011110100000111101000010101010101110100001010010001110111100001010010001000110000110101010101101100000100011100101001100011011010001101110000111101010100111000000100011101011100000001010000000010100", + "INITVAL_07": "00010011110011110100000101110110011100000001110111100100011100011110010001001000000011100010111000000001111001001101100100000111101000011111000000111000000111110000100010100111000000011011000001001001000111101010111001000001001110101100101000000110010000101110000010111000000110110001111010000111001000000000101000000000", + "INITVAL_08": "00011001110011010110000111010000011001110000111100001101101000000001101000111100000011001110011110010000000111001101001100000000101001000111000100010100001011010000111110001001110100011001110000000101000110010110100000100001001111001001111100001101011011010010000101110100001111010001001000001101111000001100000011010101", + "INITVAL_09": "00011001111011001111000100100000010111000000101110000001011100000010111000110101000000101000100000100001110110101100010100000110101000000010000100111110100111000001100011100010000100011001001000110011000110001110100110100001010001101000111100010011110000111100000000011000110110000001100111100001110100010101100011111110", + "INITVAL_0A": "00011001111000110101000010001100110101010000111011101000100000000010010001101000000010110010001111010000100000001001000100010010110011100111000011100000001011100001110111100101011000000111000000011111000000000010000000000000011101000000000000000000100010010111000000000110011010100000110010001101000000000010110010100000", + "INITVAL_0B": "00011000001010111010000001110100100010000001000100001000100000010001000000110110000010010010110111100000000111000111000000001001000000110110000000011100011001000000001100001110011000000000110001011000000010001100100000100000000010000001000000010100111001100000000110010100010011010001001001000111000100011101111000011110", + "INITVAL_0C": "00000001001000110111000000000000010000000000000100100001101000011101101011000000000000100110100001000001110110000110010100010010110001001001000101110000011000010001000111100001100000010001100000001101000100100100011110010001000001100100111100010111000000011000000001101110000011000000000110101110100100011100011011100000", + "INITVAL_0D": "00000111000001010101000001000010111100100001100001100011010100000100110000011011000010100100111100010001000110000110010100000111000011001100000011100110101101000001010000100101000000001010100001110111000001100110000000010000011010101110100100001110001000110000000000100100110010010001011011101000000100011000000010011011", + "INITVAL_0E": "00010110010010010001000000100100110100100000010010101100010000000000100010110000000101000110011010000001100111000111100000010000100010000000000101100100000001000001011001100010111000010100100011011000000010000000100111110000111100101101100100011010001000000001000001101010011110100001000111100111100100011010000000000001", + "INITVAL_0F": "00001001000010011100000101010010010110000001001110001010100100011011000010010101000101010010110010000001001011000000100100000000000000110011000110010010010010000000101010000011001100001111011000100101000111001000000000000000100110100001110000010001010011011100000010111100010010010001101000000000010000010011000000010101", + "INITVAL_10": "00011111101010010001000010000000010011110001000000001001101100011100111001111110000110000000001001000001000110101000001100001011010011001111000010100000000001000001011001000111110100010101001000000010000011011000001001000001111111100000100100000000100001101011000001001100110110000000100101001100100000010100101010101010", + "INITVAL_11": "00000011001010111001000011111010011101110001001011000010000100001010110000010010000100101100011111010000110010001011101100011000000001110000000001101010101011110001111100101100001000001110110010100101000110000010001110000000001001100010001100010010110001111101000100100110101001100000000010100010101100011000000001011010", + "INITVAL_12": "00000011110000100010000110110100111101000000011010101010100100001000001011110000000101110110110100100000100101101011101100000111011000000100000001010000100101110000101110100010010100011011101000000110000010000110010111100001101011100011010100000101101001001001000110100000110000110001101100000101000100000100101010010011", + "INITVAL_13": "00001101101001110101000010000000010001100000100101100001010100010110111001011111000101011000011010000000010111000111011100011100101011001111000100011010001110110000001011100100001000010001000000001101000000000000010111000000000110100001010000000011101000011100000110101110101101010000010101100000101000001001110010000100", + "INITVAL_14": "00000100100010110000000100101000011101100001000011001010010000001001100011011101000101101000000001010000101000101011111100010010001001101101000011110110010011100001101011101011110000011101101001111011000110011100110101110001011110001111010100001111111000111000000000011000111100000001010110100111110100010010011011101100", + "INITVAL_15": "00011101101000010011000010001000000100000000000010000001111100010110111010101111000110101110000000010000011010100000100000000010011001010010000110000000001011110001010111101101011100000000011001100000000101000010001010010001010001100000111000001011100011110001000011001100001101000000011101101111001000011111010011110000", + "INITVAL_16": "00001101111000111000000001010010000001010000101101100101001000011101110011010000000100000100111110010000011100000100100000001101010001001011000111101000100111010000100000000001001000000000001001110011000000000000101101010001111110100010011100001110010011101001000101011110001001010001010001001101101000011010011010001100", + "INITVAL_17": "00011010000000101011000101011110011011110000101011000100000000001001001011000011000000100010101010010000001000001000000000001000100000111110000000110110101111100001101001101000000000010101110010100110000010111110011001000001010010000001001100000000100001110011000010010000000110000000010100100110110100010011111000000010", + "INITVAL_18": "00000000100010101111000101101110010010110000101001000010100000000000000000100011000011001100110100010000000110100100100100001101101011100000000101001000001101010000011011000110000000000010001000111001000100100100111011110001011000001011101000011000101000010000000100100000000100010001101100100101001000000100110000010101", + "INITVAL_19": "00001011110011000000000100111110101101010001010000000010000000011110100011011110000110100100110110000000000011100001110100010101001001000001000111100000100110100001100000001001100000001010111001000111000100011110010101000000111011001101100000010100001011110100000101110010100111100001010101000111010100011110001010110110", + "INITVAL_1A": "00011010101010111100000111000000010011110001011111001010000000010110110010100000000001010010001111110000101011001110100100011011110011110000000000010100010111000000111000100101101000001010101011110111000001001110010101010001001111000000111100001010000001100010000010000000000101010001101011101101010000010011101001000001", + "INITVAL_1B": "00010101111011010101000000110000001010110000000100100010010000000001001001101100000010100010010011110000010111100010100000001010100011010000000010000010100100000000001011000001111000010100100000001010000111010000000101000001111101001110111100010100010011100000000000010100111111010000011000101100110100001011011001001110", + "INITVAL_1C": "00011101000000000000000010011010100110000001001011101111111100001101110011111111000111011010111101100000100111001111100000000010110010011010000011011000101110100000110101001010110000000111101011111111000111011110101100100000111011101000000000010110110011001011000001101000010010000001100000000010001100011011101011110010", + "INITVAL_1D": "00001101001010100110000110011010001101000001101100100110100000001010011001110100000110100110101011000000011011101111111100001110100011101111000101000110011111110001011011100100111000011110111001100000000100110110011101000001101100100110010000001010011001110100000111100110001000100001111010000101110100001011001010110010", + "INITVAL_1E": "00011111101000000010000101101100101101010000010010000100001000001001111010000100000000010110110101110001110100001001010000000110101001101111000010010110110011100000000010000110101100001000000010101100000000011010111111110001101101101010011100001111101001100000000011011110111111100001101110100011101100011011111010000010", + "INITVAL_1F": "00000001100011001110000101000000110010110001010001001001001100000111000011111010000001111010000101010001001100101100100100011101000010110100000000001000111110100001110011101100100100011110001000011000000000001000100110010000110000000011010100010101001001101001000111011000110011000000110000001000000000000000101001111010", + "INITVAL_20": "00001011010010101110000111001100101000100000000110000101000100000001110001100100000000101000111000000000010001100010000000000101101001010111000001110000110001000001110111001110000000000111010011000000000101011100111110100000100110100001011000011010110001100111000010101100011001110000101111000101111000011110100010011001", + "INITVAL_21": "00001110000010100010000000100100100101010000011111001000111100011000101001101110000111111010000101110000000100100000111000010010000001100111000111101110111100110000001001100011010000001010010000011011000110100000011010010001000000001000001100010100010000011100000111010010010000000001100100101100000000001001010001000000", + "INITVAL_22": "00001010110011111110000011000000100110000001001111000110011000000100110000100101000100000110101101000000101100101000010000011011011010001010000111001010101111110000100100101000100100000111101010100100000100010000111101100000111010101001110100000100011011100111000101001000110010000001011000101011110100001010001000011001", + "INITVAL_23": "00000010000011111000000101011000110110110001111010001001100000010010011011011011000001000100001111010001100110100111101100001001000011111001000111010010001100100000010110000111001100001010100001000110000010111000001010000001000010001010011100011001111010100011000111100010010111100001010111100100010100010000100000111010", + "INITVAL_24": "00011000010011010011000011101110000001000001010000001101101000000000000010110000000111111010100100010000000001000000110000001001111011010010000011011110101000110001110111100000001000001100101000011011000010010010100110110001100000000100110100000000000000010011000101010110100010110001101101000100000100000001111001100101", + "INITVAL_25": "00010010110011001011000101110000100001010000000110000110111000001110001010001101000010000000010000010000000011001010110100000010101001001011000100101100010010010001101011001110010100001000101000011111000010001010111101110000001001001010011000000000100000011110000001000100000001000001001010101011010100011010101001000010", + "INITVAL_26": "00011100111001100011000100010010000110010000000010000111110100011011010000000101000000000000011101000000101010000000000000000001001010111111000110011010110100010000011010101000011000010000011011101110000100000010010100110001111010101111101000000001010001010100000001000010001101110001011101100110000100010010011000001100", + "INITVAL_27": "00001001011011110010000001011110001000110001111001000101111000000111001001110011000100011110001111110001000101100010010100011011100001111001000111111010100011010001000111000011111100011100001001001100000001010000111100110001011100001111111000011001000011001000000001000110110111110001011000000010100000010001000011111011", + "INITVAL_28": "00010111001010100111000011101010101101110001010010001110010100010100010010011111000010001110110010010000100010100110000100011101000010111011000001110100000111100000111000000110101000011000000001011001000010100110101111100001101101101111110100000100010011010000000000101100011100000001010000001000111100000100010011100110", + "INITVAL_29": "00001000101010001010000001111110110001000000001011000000100000001010001001110110000011001100010001110001101111100001110100011001000011111110000110010100010010100000000110000111000000001110000011000111000100110010110101000001111000000101111000000101011000010110000111111010001001100000000101100000011100001011100011110110", + "INITVAL_2A": "00000010001001000111000110100010011110000001010100001000011000011011011001101111000001001100100110100000110100101010011000010110010011011110000001000000110100110001000110101010111000001001000011110011000001111010101110010000101010001011100000011001101000100010000110011000111101100001111000100010111000011100001000011110", + "INITVAL_2B": "00010110110000110010000101100010110101010001111001001001101000010100010010011100000000110100001101000001100001000000010000010111000011000100000000110110001000010001010001101100010000000111011001101100000001010000100010010001100100100010100100001101011010010011000001001110101000110001010011000010000000011001001001111001", + "INITVAL_2C": "00010000111010011010000010101110101010010001110010100100111100011111101001000000000101010010101010100000001101001010101100011101111010010011000111111010001101100000000111001011100100001010011001101011000001100110010001010000000001100100010000001111101001000111000010001010000001000000101111000101001000010111000000011011", + "INITVAL_2D": "00001111000010000000000110110010110000010000110111101101001100011100000001010100000110101010000111010000101010101111011100011101001011111110000100110110110000110000101110001010100100010110101010011001000101000100100011100001000101000100011000010001000011111010000100001000001000100001111001001001011100001010011001001110", + "INITVAL_2E": "00000000011010001111000000101010000000000000100000001001101000001100100001001001000011001110000100000001100010101001000100010010001001000000000110110110000110100000100001001110010000010011111000100000000110111000001100000000111110100010011000001010100001100111000101011100110111010000011000000001001100010001011001001111", + "INITVAL_2F": "00010110100010110110000110100000110001010001001011000100110000000000100011010000000010100110010100000000001010101101001000000000100011101001000110000010110001000000001101000011010000001111001001100110000001011000101100100001110001000101111000001000011000001001000000001010111010110001001100100000001100010011000001110011", + "INITVAL_30": "00010000000011010000000000100100110000010000101110100110010100001100101001000111000100111100100000000000010110100110010000010110010000111101000111101100010011100001001111100111100100011001000000101100000110011110100011000001111101101100001100000100101011101001000000001010001101000000001001001010110000000011011001100100", + "INITVAL_31": "00000001100011101011000111010010100110010001000010000011001100010101101000000010000010110010001011110000111010000011011100011000111010100000000010011100110010010000111110001001101100001110100001010000000110100100100100010001100000000100111000000010010011001110000101101000000010010001100001000101100100011011001010010101", + "INITVAL_32": "00010010110001001011000101110100101100100000101110101101010100000111100000100100000100110010110100010000101110001010100100011010001001000000000010010110001011010001100111000000101100000101110010010010000011010110101001000001101000000000010000011010001010000001000011001000110100010001001110000100000000000000100010000001", + "INITVAL_33": "00011000111000100001000000110100000001100000110000000000101100011011001001100101000000001000110010010001011000101000100100001100101011000001000011101000011111010001001111000100011000011001001000001001000011001100110010010001001000100010000100000111010011110010000001001100110110010000100010101000100100000001000010111010", + "INITVAL_34": "00010000001010010011000010001000100101100001010000100010011100001101100011100111000110000010110011000001011001000100111000011000101000100111000100011110011111010001111001001010001100001111011010101101000110010010000000000001111011000100010100010010010011100110000111100010100000100001111101000000110100000101101000000110", + "INITVAL_35": "00011111010011001111000010110000000001000001101111100101011100011001010001001100000000001000101011000001111010101000011000011110010001000101000101101100100001110001110100000110111000011111001011111110000110110010000111110001111111000011110000000011001000010110000010010010101001100001111000001011110100010000010010000000", + "INITVAL_36": "00000111001010101110000110101010111100100001110001001011100100010010110011001001000100100110101110100001100000001001110000000001110000101011000001001000110110110000100100100011011100000011001010000101000101011000111010100001111110001011001100010101011010101010000111111100101100110001110010100010011000000000010000010101", + "INITVAL_37": "00000101010000000101000001000100110110010000011101000111001000001111011000100111000110111000000100010001000001101110001000010110010011010101000110110010010010100001111110100011111000011100111011010111000110111000001100000000101010101011100000001001100000010101000111001010100011100001100110101010100000001011001010010000", + "INITVAL_38": "00000011001001000100000010100010010100010000000000000100100100011111010001001010000100000010111110110001101111001100011000000000100010000001000111000010110001100000011111101011100100000000010011111101000111110110110100100000011010000100001100011010000011010010000000001000110101000000001110100010100100000101100010010001", + "INITVAL_39": "00010101100001011000000000010010111101110001101011100000000100001010010011000001000110100100011111010001000100001101100000000000001000111101000110111110010011000000110001100101011100001011010010000001000111011100111110100000000000000110000000000000000000000000000001010010001111100000100100000101000100000000001000010100", + "INITVAL_3A": "00011110000001001000000000000100110001100000000001000111111000001111000001110110000111101110011110110001101110000000100100000100110000000100000010011000110111110001111011101111000000000100110011000011000010111100110000000001001010000000110100000010010010001001000001100110010001000001010001000111110000011111011010110001", + "INITVAL_3B": "00010110000011100010000111000110011011110001110010101110010000000001111011100001000011001000000000100001000100001110110100011110010001011001000001000100011010000000000101100001001000001001010010100101000110001100001111010001010011100001100100011111101010111110000110110000001010010001011011000100010100000000001011000000", + "INITVAL_3C": "00010000010011100000000010011100011100010001100010000111000100010011000001011010000110101110100000100000100100100110010000001011100000001010000110010110001100000000001010000010111000001010001011111110000100010000100001000001001011101000111000001001111010000010000100101010000011000000110110001001000000011101101001111110", + "INITVAL_3D": "00001100100010011100000010111110100010110001110101101101100100010101110010011011000100011010100000000001011001000100110000000010000000100110000010000000001000000000001001100101110000000000011001000101000110000000000100010000001111001110111100000101011010100000000000001100000100100000110000001010000000010110001010000000", + "INITVAL_3E": "00010110010011001011000011011010101001100001001110000000001000001101010000010100000001010100101100110000010000101001000100001010100011001001000101001000101101110000010101001100000000010011011010100111000111010100000010110000011110001101111100011111110011001101000011101000110111000000110110001011000100010101000000000110", + "INITVAL_3F": "00001011000000001000000010110100010110000000001001000110101100010101001001011100000001100000001111100001101101000101100000000001001011110001000001010110010011100000100010101100111100010001001000010001000011000000111100000000101100001101010000001001000000001100000111011110101001000001001111000100111000001000000011010001", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9536 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9461 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.0.2.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00000110011000110001000110001000101111100001000111100001010000000011001011110000000011001100001000110000111010101101111000011010110011001111000100101010110000000001101000001100000000011110001001010000000111101000010010010001110011001001001100010110100011011110000110010010110001010001011101001101010000011110001011111000", + "INITVAL_01": "00000000011000010110000100001010001000110000011101000000000000001101110011111011000011100100111110100001100110001001010100011001100001010110000101101110110110100001010001100001101000001101100011110100000011000110011101000000001101100111100000001011010011000111000111001010100001110000101111000001110000000010110000011010", + "INITVAL_02": "00011010111010010110000000001010000000000000100000101100000000000111110011000001000010101000001101010000011010001010011000000110011000000101000100110000001010010000000101100000011000010110011011001001000000111110011111110001001000000100100100000101000000100010000010110000110000110000010110001011011000011010110011000101", + "INITVAL_03": "00010011101001111011000000111100100100110001111101100001001100000010010000110000000000100100110101100000110110000000010000011111001000011010000000010010010100100001110100101101100100010111010011010101000100011000000010010001010100000101101100010000001010110010000111010010011110110001000101001000000100001001000000000100", + "INITVAL_04": "00000010001001100000000000010010100000000001001011000001101000011001100010110010000110000100001001010001001000101010000000011001110011000111000000100100110010010001111100000001000100000110010001001011000101000110010110010000001100001110001000001011110010101100000011000100000010110000110101100101011000000110011000000101", + "INITVAL_05": "00000001101010000000000110101010001011000001100110100011010000001010111010010011000100100000100011100000110010001000001100001100100010111101000100100000100011100000111100101101100100000000110011001010000101110100110110110001100000000100111100010010011000000111000100100000110100100000001000101100000100001100100011111110", + "INITVAL_06": "00011011000011000010000000001010101000100000100111101011010100000011011001011001000100000000000000000001010010101101000000001000000011111001000111101100010100100001100100001011111100000011010001000110000011000010000001010001000000000111011000011101101011001101000010011110011001100000000001000101100000000101001001101010", + "INITVAL_07": "00000000111011110011000101001000111110010000000110100000110100010000000011101100000011101000100000000001110101101001110100010110110010011000000000000000100101110000101100001000001100001110001000001110000010000010100000100000111100101101011100001011111010100010000110101010100101010000111001000000001100000000010000110001", + "INITVAL_08": "00000100001000111010000110001110111111110001001100000000010100000101101001000110000100110000001011010001110000000100111000010000111001000101000110000100000011100001101110100111101100000011011000100000000010000100100101110001001000000010010100011001011000100100000111001010100100010000110111001000000000000011001001100100", + "INITVAL_09": "00000011100011101000000001000010100100110001010011100110100000000010110010011111000010010100010100010001110100100001101100001111100001110011000101101100111100000000011111101010011100011110100001101110000100010110001010000001000000000101101000001110110000001001000010010100001011010001010010100001111000001011110010111010", + "INITVAL_0A": "00011111010000001110000001111000001110110001111000100110001100001101000000000111000011001100000001000000010001101010110100000011011010111001000110101000011011000001000110001011010000010011100011111110000000101100000001110001011000001011111000001110000010001011000010111000101100010001001011100001100100011010010001110110", + "INITVAL_0B": "00010001111011111010000010000000000101010000001100000100000000000111100001101000000010111000011000000000111101100101000100001100100011111011000011111100011101110001101101100111101000010100000000010010000001111110011010000000001010001010001000011101001001000111000110100000011001100001110111101000111100000011001011001010", + "INITVAL_0C": "00001100100010110110000010011010101001010001101000100011101000000110110010100000000010000110011010100000000111001011011000010011100001100111000000110010010111100000111001101000110000000100111001101001000100011100010101110001001110101010101100000111000000000110000111100110110100000000111101100001101000000001110010001110", + "INITVAL_0D": "00001110011010011101000110010100110010010001111011000111101000000100010001010000000001001010101100100001100100101111001000011001110010011111000100010000100101000000001100100111100000000110010011001110000100111110100010000001001010000111000000000011001001110000000100000010001011000001011100101101001100010100101000000010", + "INITVAL_0E": "00010101000001111001000010010100000001100001010101101100000100011011011011101011000111001000010101110001010010001101011000011011101001111011000110011110100110010000000000000000001000010100001000011111000011111110100110100001101011001001000100001001100000111011000000001000110001100001011010001110110100000010010001011000", + "INITVAL_0F": "00011100010000100001000010011100110000100000011000101000110100000001001010011110000001101000001000000000100011100101101000001010000001000000000101011000111101000000001001101001101000000101100000000000000111110100111100010001110001101100000100001001010010111110000010000100100000110001011011101101011100001010111000100000", + "INITVAL_10": "00001100100000000101000101010100111001000000000011001011001100000010101011010110000100000110010101000001000010100001110000000100110001110000000010110100100101100001010010101010111000000011011010011011000001001010100011000001110110000111001000001001110010100011000101000000111010010000010010100001101000011010010000010001", + "INITVAL_11": "00011011010001110110000100010000000111010001101010001101010000000100101011011010000100000110010001110000110001100110101000000010000000111101000110010010011110100000111010001010010100010010010011001000000101100010111001010000011101001110101000011101010010010001000000111110011110100000101010000101011100001101101001111000", + "INITVAL_12": "00011001110000111011000010111000111000110000011110000110101100000101001011001010000011001100100011010000100100000100000000010001110011010100000000100010111010110000011110000010000000011000000001101011000111110110111111010000000001000110100100010101011011111101000001110100011101010001100000001101011000001101110010011101", + "INITVAL_13": "00001110010000001101000111000100001000100000000100000111011000010111001000001000000001110000000100000001001000001010110100000011101001110010000010100100100101110000011010000100100000000111010000011010000001100100000101000001110001000011101100001010010011100100000000111010010000000000011100100101101000010011111011110101", + "INITVAL_14": "00000110101010011000000110101010100110000000100100000001001000010000010010000111000101110000010101010001111101001001000000000100110011111110000011001010110011100000101000101101011000010110101001101000000010110010110110100001001011001001000100011101111001011011000010100110101000110001011000101100010000000111011001011100", + "INITVAL_15": "00001010110010011101000011011010001110110001110101000100011100000000001001101011000100000000011001110001011110101010001000010010010000000010000100111110110101110000100010001000001000011001011000100010000111111010011100010000001001000001001000010011111010010100000100011100100010110000000001101010111000000101100000011110", + "INITVAL_16": "00001100000000001111000010001010100001100001101010100100000000011010100011000110000010010100100101010001001010100010011100010010101000011101000100000000101111100001011111100100111100011110001000000000000000010000000000000000000000000100110100000101011010100011000010101110010111010000100000001000101100000100111001100000", + "INITVAL_17": "00001001101000100111000000011010000000110000100111000010001100010110001000110000000011000110010100100001001001101011010100001101000000011011000000011100101000110001001000100010010000001100001010010010000011001100000111110000010100100011101100011001010010101111000000000100011110110001111000100010000000000110101010110001", + "INITVAL_18": "00001110000000110110000010001010101000100000010001100101000000010000101010011101000101010010011000110000001000001110010000000110101010001101000010100110000011000000111011001010100100011101110000001011000000100000110001100000010000101010010000000111010000010010000110101000100111010000000100000011101100000011010001000100", + "INITVAL_19": "00000000000001111101000100110010011100100000110111000000101000001100011000001011000101010010100000010000100000000000111000000000100010000000000001110000000101000000000000000110000000001001000000000011000111000010010000000000000111101000010000010000001000001100000001001100110100010001000010100011001000000110000010110000", + "INITVAL_1A": "00011111010000000100000100000000011100000001000000000110010100000010100010100111000010000100100000000001110010000000000000001100110000001100000110011010101001000001111111001011000000010000011011000000000011100010000011100001001000100100010000001000000000001100000011000010110000100001001101000101111000001000001010010111", + "INITVAL_1B": "00011101001010100010000111101010000101100000100100000011001100011000011001101100000000011010010001110000000110000110000000010000000010101111000101001100010111110001100010001001100000000000011000001011000000001100101100010001001000000101100000000000010010000001000000000000110001000001000000000011011000000100110001001100", + "INITVAL_1C": "00010000111000000110000000001000110000000001100110000101001100000000010000101010000001010000101010110000101111101001010100001011110010101101000011101000011110100000000110001100110000001011001001100000000001000010110000110001110111101111111000000000011000100001000110111100111101110001001110000001100000010010101000010101", + "INITVAL_1D": "00000000000000000000000000000000000000000000000001101001111100000011100000001110000101011010000001000001111100101000001100011111010001101010000101001010100100000000100011101101111100001000100011100000000001010010100101110001010000100001011000001100001000000000000000100100011001110000000100001000000100000010110001110001", + "INITVAL_1E": "00010101110010101101000101000010101011000000001000100000000100000010010011111010000011110100000100000000001000001101110100000110001010011011000000000010100000000001110011000001101000000110111001010100000001001100011110010000100000001011010100001000011000010000000100011110101111100001010110000000000000000000000000000000", + "INITVAL_1F": "00010011111001011111000101010010000110000000001001100010011000010110000000110101000000000010101111000000000110100010101100001101010010100101000101100000001011100001000011101000001100000110101001001000000100000000001101000000111100001101000000011000000010100001000011010010100110100000001101100101011100011101001000111001", + "INITVAL_20": "00001010011000100100000011100100001010000000101011001000011100001100000001000000000010011010100101000001111100000011101000000000100001011010000111011000100110110000110100100110110000000000011010001110000110101000110010010000100000100110010000011010000000000011000001000000101100100000100010001100011100000001100011000000", + "INITVAL_21": "00011000000000010111000101001100010001000001011010100011011000010000100000011011000010011110101100100000111110000010101000001000011010010100000111110100010011010001111000000000101100011010011000100010000010110000000101110001001001100111100000000100111011010011000011010110100100110001101010101110110100000011010000001011", + "INITVAL_22": "00011011111001111101000011110010100100010001100001100111110000000010001000100111000010011110100101100000111010001000100100000110110010001001000001011010001011000001001101100100011100011000111001111010000011011000011001010000001001101111010000011111100010011011000001101000101111000000010101100100001100010100000010011111", + "INITVAL_23": "00000101101010100110000000110100011011100001111001101010111000011010101010010010000111001110101011100001101101101111010000011111111010010101000000000100100010000000001111100100001000010111100011101111000111100000111111010000011111100100110100011010011011110110000010001110111111110001111101100110000100011100000000101111", + "INITVAL_24": "00000001000010100111000100010100100110110000000001101110000000001011110001100101000111000100111100000000111000000001010100010001111011010110000000000010001101110001000101001001001100010100111011000100000111011010010111110000001110100011011100011101001010110101000110110110011011100000100010001001101100001001100001100000", + "INITVAL_25": "00001110001010110001000100101010000010100000011000101101010100001001000010110000000110010100000111010000101011101100100000011110111001110011000011110110100110010000010010000011100100010000100001000000000001001100111100000000010010001011101100000001100011110000000001101000000011100000100100100111000000001110001000000100", + "INITVAL_26": "00001010110001100100000000111010010001100000101000100101000000001010100010011101000001001100010110010000001010000100010100010000111001000000000000111010011000100001110100100000101000011010000011000010000111100010000010100000010101101100001100000110000001010110000000001100000000110000000111100101000000010101100001100001", + "INITVAL_27": "00010001100010110010000110010010111111000000110001100000010000000010110011100010000101001100010110010001101001101000001000001010011000110000000001100000000100110000100101000001110100001100011001100000000000100100001011010000001000001010100100010010010011001110000110111110101001100001100000000000110100011100011001011000", + "INITVAL_28": "00000101010011110111000100100100100100000000000001101001001100011101010011110011000101000110100001110000101011101110010000011111001000101110000010110000100011010000101001000000010100001000011001100101000011100110111101110000101001100111010000001000110011010101000100011100100010010000000000100010110000000001000000111011", + "INITVAL_29": "00011101110001100000000000001010011110000000001000100010111100011011101000011001000010000000100101100000101111000000010000000000011001001000000001101100100100110000100000100001000100001011111010111101000001011100001011000000111101101010100100000011011000000010000010110000101000010001000110001001111000000101001001000000", + "INITVAL_2A": "00010100101011010110000011010100111110000001011010101000100000010000000011100000000100001110000111100000111011101011011100011110111011110110000101100010110101110000010100000110100100011111111011111100000111000110010110100001011011000011111100000110010010000101000000000000001001010000001011101101110100011011101010101011", + "INITVAL_2B": "00000000110001100001000000000000010010010001000101100111011100000011000001011101000100010010001101110000101001100000010000001101010001010010000001101010000111000000000000000000100000011001011000001100000100000000111001100000100111100000011000000100011001001110000100110000000111000000000100100011010100001011101011011111", + "INITVAL_2C": "00010000011011110000000110010110011001100001100101101101010100001000010011110010000101111010011010010001100010001101011000011001101010110110000100101100010011110001000011000011110000000111001001001100000000010000001110100001010010001110110000010011100000011101000011101100001011000001100000001111101000010111010010101001", + "INITVAL_2D": "00000101010001101101000101101110000101100000101100100000000100001100001000100111000110100110001100010000001101000000001000000110011001110110000000110000001101110000000011000110000100010011000001000000000100000100001100000001011110100001011100000000010011110000000111010100010100110000011000101001010100011101001010111001", + "INITVAL_2E": "00011011011001101101000111111100000011010000100010000010001100000000101010000000000011110010110001100001110111000101010000010010010011110101000000111010001111110000100011000000000100001010100000000010000111101010011100110000100011101011001100001010101000110110000011101100111000000001110100000001010100000100000000001000", + "INITVAL_2F": "00000111110000000101000111011100110100100001111000001000000000000100100011001011000001000000000100000000000010001001100100001000100000010010000001001010000000110000011111000010101000001010001001110101000001100100011011000001100000001000011100000100110001100010000010010000011100100000110000001000100000000001000011000010", + "INITVAL_30": "00010010100001001001000111001010001010010001011011100000000100000111000010111011000101100110110100110001010100100001000100011011010010000011000001100010000011010000101000001101100000001100110000011110000001101010100001000000100000000100010000011001100001000110000110001000111111000000100110101100010000000001001010000001", + "INITVAL_31": "00010110010011011101000000100100100010010001011010101100000000001001110000101110000111110100111100110000101010001100010000010101110001101001000111010010110101100000011010101110110100001100010010011110000110001100000111000001110000000100100100010100111001001000000010111010001010010001011001100100000000000000101000110100", + "INITVAL_32": "00010000110000110011000100001000010001100001110111000001101000010000000010111001000101010000010111000000011011000011101100010100010000111110000010001000100011110001011010001111101000001111110010001100000000011000110100100001011010101110011100011100011001010110000111000110101101100000111010001000010100010010010010001001", + "INITVAL_33": "00001100011011000010000010011010000010010001101000100001001100011010001011101110000111110100111100100001110000100010101100001111100001110011000001011110000100100000100111001000101100001001011011101101000011111100101000110001011100000100101000000000011011100010000100110100100100100000100001001110100100000010100011010100", + "INITVAL_34": "00011011011010001011000100010010011010010001011111001001001100010100101001110100000110111010010001010000000111000000001000010100000010000001000010101000000010110001001001001110010000000001001010010011000010010010101110000000010000001010000000001101001001110111000110011000000011000000001111000000110000010110100011000111", + "INITVAL_35": "00000100110000110100000100010000010100110001001011000111100000010101000010010110000010101100010011010001011011000100110000000110110011000001000011011010111111100001110100101011010000000100000010100110000100110110101001000001101110000111111000010010011000101001000001001110010010110000101010001010101000001101100010110110", + "INITVAL_36": "00001100010011011000000110001100001000010001010110100001001100001011100001110110000110001010000011000000000010100010011000011001010000100101000110010110011100010001101100001100011000010111101010101100000010010010110110100001000001100011100100011001010001001011000010110000110000110000011100101100001100000011011010010000", + "INITVAL_37": "00010010010010000100000100100110101010000000111010100001001000011011010001111100000010101010001001010000001001100110011100010011100011011001000001100010010110010000010011000100111100010100011010000010000101100110111010100000001011001001101100001101001000111100000111110000111100110000101101001000010000000110101011101111", + "INITVAL_38": "00000000001000011100000000010010011100000001111100001111011000000001100010010000000010100000100110100000010010100001001100001101001010001101000011011110101011000000101001000111100100001000100010010110000010110010000101110001000001000001110000011111010000001100000111010010101101010001000001001101111000010000100010101110", + "INITVAL_39": "00000000101000101101000101000000001001000001111011101000011000011110000000100111000101000100111100010000111001100101101000010000011011110001000100100100111001100001001001100100011100000000100001101101000011111010001111010000010001000110110000000100100010011000000001110010100100000000000100001110100000000001010010000010", + "INITVAL_3A": "00001101010011111111000101011100100010010000110111001011111000000111011011110100000001010110101001010000111010000000110000000110001000110111000111110010111000110000101010000001001000010000010000110100000010000010001010000000010001101100100100000011000000001011000011011000001000000000111011101110101100011110111010011101", + "INITVAL_3B": "00011010100010100100000111000000011010000000010110000111110100001111110010000110000000000010000100110000000001101100001000010001100001011101000010100000001010100000100110001100100100000001101010100001000000110010010011000000100100001001010000011100111000011101000111010110010100000001110010000011000100010001111000111011", + "INITVAL_3C": "00011010010010001000000100001110011100110000001010001100001100010000010011010110000101010000001001110000101000100011101000010010100000010110000101010000010000110000011101000011001000000110101000001000000011010000110111100001000001101011010100000001101001101001000000100000011101000001010010001110110100001001010000001110", + "INITVAL_3D": "00001000001011000001000001110100100001110000011111000011100000010111111011111110000100111100010111100001001111001111011000000001011001011111000010000100101100110001101100101111101000011111101000001101000001001000111101100001010010001110100100001001011000001110000110100000010110100001100010000000100100010011110000101100", + "INITVAL_3E": "00000000001010111111000100010100100000100000001010001001100100000011111001111110000001111000100101000001001010000101000100001010000000000011000000100100001010010000100000101010011000010001100010100000000110100010011000000001010111101011100100011110100010001000000100000000000000000000000000001100000000000110100010100100", + "INITVAL_3F": "00011010001001011101000110101010110110010001101010000001110000001001001010110100000010101110000001010000110001100100010100011111011010001001000111100110100010010001100000100010000100011001001000101000000101000000100110000000101000101100110100000000011010011010000010011100111111110000001100001011110100010000001011001001", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9261 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9469 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.0.3.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00010100010010001110000000100000100110100000000100100000010000001110010001111011000110011100011000010001110001000011100000010011111011011000000011101110010111010001011011100111010100011011000010001010000110101000101010000001010000001111110100011010000011111000000010100000111011100001011101001010100000001001010010011010", + "INITVAL_01": "00011011111000110100000111111110000110110001110100101111100100010010011011110111000101001110111001100000100111101101111000010011111010101110000100010000100011100000111110100001000100000111001000100110000011100010110010110000001101000000010000000111100000011010000011000100001000100001000000100001000000001001000011010111", + "INITVAL_02": "00000000000000100110000101111110011010000000011011000110110000001010001000011100000111100010101000000000000100100000110000000000000001010001000000000110011010010001010110100000100100010101111011010011000010001000111001100000011000000000000000000000000000011010000100000100101001010000000010100010001100010010000001111000", + "INITVAL_03": "00000000001011011010000110001100000000000001000010001110011100011011101000000000000110101110111011100001111101100010011000001111000000101000000011001110100101100001100111001101110000011110000000111011000010110000110000000000010000001001110000011111011010100000000000110100111111010001101111100010011100000110111000100011", + "INITVAL_04": "00000000110010110001000110011000001110000000010100100110111100000111100001011010000010110000001100100000001001101011000000000010111010010110000010001110100101000000010100000001001100001111110010011101000111011100110110010001101011000111111100001011000000000011000011111100010001110001100101100101011100001101110001111100", + "INITVAL_05": "00001011100000100001000101010100010011110000101000000011110100000101100000001011000101111100100000010000101000001001001000010110110001010001000011111000000110110001010000101011010100001001101001101101000100011010000100010001010000001111000100000010111001110100000000001100110010110001111001001111101100011000111001110010", + "INITVAL_06": "00001010100010010011000101110010100010100001111001001000011100011100101000000100000111011010000010100001101010000011011000000001101000000101000000110110010011100001101001001001100100001000001000111010000100100100011101110000011000100101111000001010000011111100000101000000100111010000011011101000000000001001000000100010", + "INITVAL_07": "00000100011000111011000010010110110100010001111010101111110000001000001011011010000011011110101101000001000011100110100100000110110000010000000001111010101001000000011101100101001000011010100000001001000111001110011010010000101110000111011000010000101010000010000010000010100001000001101000101011000000011101010000111000", + "INITVAL_08": "00000000011010110101000101011000011000010001101101001101011000010110101000101001000001110010110110000000101000001011000100010101101001101010000010011100110000100001000010101000011100001101110001010000000010100000101101010000100100100011101100010110010010010010000000111010101010010000011101000000111000011010101000001101", + "INITVAL_09": "00011011010010011100000111110010010000010001011000100001101000011010110010011101000000011010000110000000000111001010110000000010000000001001000101000110000000010000000001000000000100000100001000001000000010000000100111000001011111100010000000000100000001110000000000000000011101100001101000001000111100000011001001110000", + "INITVAL_0A": "00001101100001001101000001000010100111000000011001101000010000000001100000000001000000011000000000000000001000100010011000010000010000111100000100111110110110000000100111101101011100001001101001111100000000000000000000000000100010100100110100011110100000000000000011010110000110100001100001101011010100001100001000001001", + "INITVAL_0B": "00010011100011010000000001001100010011000000100001001010101000011010001000110000000101010100100000010001101110100001011100000001101000001010000001111000010101100001001000101110010000000100011001011000000001100100001101010001100011100110101000000000110011010100000101101000111011010000111000101100011100000000001010010010", + "INITVAL_0C": "00000101011010100110000000011000011110100001011001000111010100010110110011001001000101010000000101010000111010000101010100001010000000000010000001110100111000000001000010001101000100010111100000100111000001110000011101010001101101001110000000010000100000111001000010111110001011100001101111100010100000011001001000110000", + "INITVAL_0D": "00011110011011110000000011010010100100100000010100101010011100001100100011011001000001000100001011000001010000100101101100000110110001001000000101000010011101010000000010101011001100000100010000110100000100001000001110110000100100000001001100010110100010000101000001111000000110000001101101001011001100010100000010100001", + "INITVAL_0E": "00001110011011101000000100001000000001010001100010001101100000000111010000001101000110001000110110000000001001100010101000001111011010101110000000101010101011100000100110100001010000000101101000101101000001101010000100110001100100100111001000010101100010111001000010101000011001000000000101101010000100000100010001011001", + "INITVAL_0F": "00001101000000011111000011001010101011000001000110000000010000010011010001100011000011101110111101010001011111000111001000011100000010000000000111110010110101110001111101001110101100011110111001011111000001000010111100110001011000101001000000011101111000001010000110010010101100110001110001101100011000010110001000110100", + "INITVAL_10": "00010011011010111011000101001000000001100000010110001001100000000100100011100000000110010110100100000000101110001100011100011101111001010010000100100010000100000000010110101001000100000100110010100100000110010000111101010000011111000101100000010010000000110001000001100110000101110000001110000000100000010010001010011001", + "INITVAL_11": "00000110011010101001000001110100010111100001001001000000100100000011110011111100000000100100000010100001100011101111011000011101001000000011000001101000100101010000011111000110100100010011000011001101000100100110110100010001011001000110010100001101101000010100000011011000100011100000010101101011101000001101111011111110", + "INITVAL_12": "00010011100001000111000011011000110111100000001110001110110100001100011011011000000000101100000001010001000001100011111100000011000000110010000010011010010110110000010001000000111100001001001011111011000011011000100101010001111001001010101000010011001000010110000000111000101001100001101111100101001100001001001010001101", + "INITVAL_13": "00011111001000010010000010010110001010010000010011100010010000010010010010011101000110010100100010110001110011101100101100010000011000111000000011100110000110100000101100000100001000000000110010110001000101010010011100110000000111001101000000010100000000111011000110011100100101000000000011100101000100001010000001110110", + "INITVAL_14": "00010011110011000001000110101000001010000001100111001100011100000111011001011101000011000010010010100000101000001001100100000100000000000101000010000100001101000000110111000011100100001010100000010100000100001110100101110001000001000011000000000001001000000010000010000000010011000000101010100010000100010101101000001001", + "INITVAL_15": "00010110110011100110000110110110011101000001111011100001101000000001111011100010000110110010110111110001101110101111101100011101011001100111000100111100011101100000000100101010011000010110001001001001000001101010000101010001011011100010011000011101010000100110000101101100111001000001111001100010111000011111110010011010", + "INITVAL_16": "00000110100001000110000110000010100011010001100001101010100000001011011010011110000100111100010110100000100101000101001000001101111010000111000010111010001111000001011011000111111100000101110000111000000101101100010110100001100100001000100100010100000010110000000001011010001101100001101101101001101100001101101011001101", + "INITVAL_17": "00000001001011000011000110100000010001100000111000001111000000000010000010011100000001111010000011000000110011100000111100000001001000110101000010101000010010100001110000101000010100000001010010001011000001011100101111110000010011001010100000010100000001110110000110100010011000010001010111100000111000010001100010000001", + "INITVAL_18": "00010100111011111100000111010100010111110000101111101100111000011010111011001110000101010000101110100000010000000001000100000111011010101000000100111010000100010001100111101101000000001000110001110011000010100010001110100000010001101001111100010000001010000100000111001000101000100000111010000100011100000111111000000011", + "INITVAL_19": "00001010011001011011000011101000011010010001100010001001000000011000010000010011000010000010101010010000010010001101110100010110100011011010000001001100101010000000110010001101010000011010110000010100000011001000100100110000111100100011110000001011001001001011000101000110110111000001011101001111111000001110101010001111", + "INITVAL_1A": "00010011010001101001000010010010100010100000100100100100100100001001001001101011000110011010101101100001001110100011101000001110100001100110000010100010101001100000011010000100000000000111011000101100000110011010001001000001001000100010011000011011001000101001000010111000101000010001111010001011001000010010010001110010", + "INITVAL_1B": "00000111010000001000000001000000011111100001111100101101110100010111111001101001000001110010001000010001010010001010010000000110100000100100000100110000101100100000100010001111100000011010111001001001000100111000011000100000100000100110010000010011010001010010000000110010010111110001011111100101110000000010010001001000", + "INITVAL_1C": "00000011000010010000000100001000000000110000011101100101001000001000110011011100000001010010010000100000101010001111000000000011100000000111000011010100000101100001101010001010100000011101111001100011000000010000110100110000011000000110001100000111000000010011000000010010000010000001001010001000010100001001111011010111", + "INITVAL_1D": "00000000010000111010000000000000001100100001011010001010110000000011000011001110000110101100001101010001000011100110100100011010010001000110000100010010001011010000100001000101010000011110011010110100000010000010010000010001101010001100000100010100101010100010000001110010110111000000011000000000000000000100000001001001", + "INITVAL_1E": "00000110011010110101000000000110010000110001001011001101001100010110100000101010000011000000100110000000111011001010000000000001001001000001000000000000000100010000010010000100000100000001011011001110000110101000001001000001000110100100101100001010000010010101000001111000111011010000001000000101000000001110101000110000", + "INITVAL_1F": "00010010111000001101000001001110111010000001100111001101011000010011000000100111000011100010110011100001101000101000010000001101010000011010000010011100011101100001010100001110011000000001001011000000000100101100010100100000110110101000110100000001110011001000000100000000000110010001101101000010110100010010001000000000", + "INITVAL_20": "00000111011001001100000010000110000011010001000010000011101100001001100001000011000000001010100001000000011101100101010000000110011010110101000010000110001110110000101010000001001100010110111001100100000001110110011110100000001001101011011100001100100011100101000100011100110111010001001100001001110000001101001011011010", + "INITVAL_21": "00001101010001011010000010101110000101000001000011101110000000010110001001010011000110011000110101000000011110001010001100000111011001010000000111100110000011000001110110100011100100011001110001110110000100100000110000010000011101100000111000011010100011111010000011001110011010010001000100000110000100010110000011000100", + "INITVAL_22": "00000110000010100101000111111110010111000000001001100100010000011010111001111101000110001010110101110000110110101100000000011111000010010111000011010000001101000000000010000010010000001001000011001000000101100100010111010000110000101001110000001000100001010000000110011010011001000000100010001101010100010001101000100111", + "INITVAL_23": "00010100110000100011000010000010000000110001010011000010010000011010011011001011000000000000110011000001110100100100111100000011010001001101000100001000101011000001000101000011011000000110011010001011000110110100011111000001001101000011101100001110011010111011000011010000100100010001001101000111001000011101010010010100", + "INITVAL_24": "00001010110000001100000101000110011110010001101101001011010100010110101001000010000111001100000010000000101001001010000100001011010001010100000110100010000010100001000010000100101100001011001011001110000100111010110101110000011100001111111000000011010011101110000001111010011101110000000001100101010000010010001010011101", + "INITVAL_25": "00010000100011010011000101101000101000000000000000000000011100000001000000010000000010101010100011010001010010100000000000000000000000111001000110101100000110000000010110101010001100001100100000010110000100000000100001000001110110100000100000000000000000000001000110000100000001000001000000000000011100001101010011010000", + "INITVAL_26": "00001010101000100100000111011010000110010000000100000111011000000000011011001001000110100110010101000001000011101110111100011011011000010001000001000100110110100001111011101110110000010000100011110011000011011000000110010000100110101011110100011111011000100011000011010000010101000000010011001010100000000001101001010010", + "INITVAL_27": "00010000011000001010000000011000100111100001111110001110100100011010000000011100000001000000010001110000110100100001101000010010001010110100000111011010010010000001000001000011001100010110100010001101000001010010000111100001101010101000110100010000101001011000000001000000011101100001011011001110100100001000000001000110", + "INITVAL_28": "00001000001010101000000110011000010010010001010000001100100000000010010000000110000001010100010000010001011001000100111100000000011001001011000100011000011110000001101001100110100100011111000000000100000100010100000001000001111011100000001000010100000011000000000000100110010000010000010000000011000000001000000000000101", + "INITVAL_29": "00001101100000000010000111011000110001110000110011000010110000010100010011100011000101110100100111000001001001000110001000011101010001000000000011110110100010000001111100001001001100001100000000010110000111111100001110110000011000101110010100000011111000100101000110101000100100000000000100001001000000000011010011000000", + "INITVAL_2A": "00000010100001100111000010110000010001000001100001101011010000000100110001111001000111110100111010010000000111100000101000001001010010101100000111010010100010110000100101001001000000001100011000100100000111100010111010100000010010000011010100010001000010100110000000000000101110110001000101100111000100000010110010011010", + "INITVAL_2B": "00001001111000011001000110110100000101000001000110001110110100010111000010010110000110101010100011010001000011100110111000000010110011000011000001000010110011100001101001101001010000011101101000100001000110000000000101000001000100000100100100000000001000001110000110100100000111000000100001000110110100011000110010000111", + "INITVAL_2C": "00011000010011101001000100101010001010110001101101101111110000010100000001101111000110100000100111010000100010101111000000000010001010100010000000111000100001010000010000100101111100000011111010100111000001001100111011100001001100101011001100010001111010010101000111111000110101100000111011001000101100000100000000110101", + "INITVAL_2D": "00001000001010101010000010010110110011100001101001001000110000011101101000101000000110011100110100100001000110001110100000000100100011001101000110111110100000010000010011100001110000001011101000011000000111010100111100100001100000100110100100010010011001000111000000000110000000010000001000000011001100000111011001100000", + "INITVAL_2E": "00010011001000100010000011101000001000100000010010001010011100001101101001110111000011111110110110110000010010001100101100000100001000010001000001001110001110110000101100001011101000001100100000100010000001001000111001110000010011100100110100011010111011110101000100100000011100010000010100101010000100000111100010000011", + "INITVAL_2F": "00010000000000001001000110110100111101000001101000101101110000010100001011111111000110110110101111110001110101001000100100001100000001101011000100100110001010110000010000000001000000010000101000000000000010101100001001010001000100001110110100011001111011101111000111110110101000000000101001100101000100000111101011100010", + "INITVAL_30": "00010010110000000100000111011010010010110000000011100110010000011011000010001100000010000010100110000001000011000011010000000101001001100010000010111100011001000001000010001110000000000000011000000000000010001010000110100001000000001001110100001100000000000000000111001010100000000000010011100011100000000000001000111001", + "INITVAL_31": "00010110101001000001000001000100100100000001011011001010100000001000111001000010000000101000111100010000010100001110110000001011010000010110000100001110101101010000000101000110001000001011110001110110000100000010001111100001000100101111010000000000100010001001000001010000011101100001010010101010110000001000001011011010", + "INITVAL_32": "00011101100001010110000101101010101010000000011110100000101000011010110010110101000010001110101100010000101101001000011000010101101000100111000101100010010110100001000011001110000000011101010001000011000000000000100001100000001100100000110100010010010000011110000010101000001101000001110011100110101100001010000010100011", + "INITVAL_33": "00011011101001100100000110110000011100000000000000101011000100000110011001001100000010001000100111010000110101000110001000010001000001000100000001101000110011100000010101100100111000011010111000101100000001010010011000010001101101100011100000010011101010101000000011010110110000100001110010000011010100010101101001000001", + "INITVAL_34": "00000100100010010001000101001100001010110000101001000111010100001000000011101101000011100010011001000001001011001001010100010100100000111011000111000000011100110001011010001110010100001110001000010000000011000100001001010000101000000100001000011010101000000100000001110010110010100000011101100100110000011000011010110101", + "INITVAL_35": "00001110110011001101000101001110011011010001001101000111011000011001101010100111000011011000000110100000111011001101110100010100111001101100000100110100011101100001100010100011000100001111011000001110000100000000000000000000001001101011010000000010010001010100000000100010001001010000100000100000011100001101001010001011", + "INITVAL_36": "00010100011010101001000100010100001000010000001000001101010000000101001010100111000011011000010011000000101010000001110000000110110011111100000000001110011011000000001011000111011000010000001001100111000000000010110110100000011011001001100000010010110000111001000110110110000100100000100110001110110100010001001000100110", + "INITVAL_37": "00010110101001101100000010010100010001100000111010001000100100000001001000010100000010001010000001110000110110101000000000001100111000011101000101100010001100010000101000000111000000000110111010101001000011100010110110110001101010001011100000011101101000001001000000100100110110010000011010101000011100001000011010010000", + "INITVAL_38": "00000011101010101101000010111000111000110000110011000001100100010110011010110100000111011010010101000001000100000010101000001110011001100110000101011110100111010000000110000011101100001100111000011011000010110100101010010000000110001100111000011010000001011000000110011100001110110000110011100001101100000010010010110110", + "INITVAL_39": "00001101101001101011000001100000110011010001001110101010011100001001000010101001000100001010011100110000000111101100010100010000101001001000000010010110110110100000100010100101000100000000100001111000000010100000110000110001101100001110110100001011001011010110000011101100101110000001110110000011101100001010000010010110", + "INITVAL_3A": "00011010001000001000000000000100001001100001101001100000100000000000010000100110000110100010000010000000000001000010011000011010001000001000000000000100001001100001101001001100100000001000000010011100000001000100000000110000101111100011100000011011010001100001000010110110010110100001000011001101101000010110110010110101", + "INITVAL_3B": "00000111011001100100000111001110100111000000000000000110110000001011000001110010000001011100011010010000011101100100101000000110110010110000000111011010001010000000001101001100001100010000001010110100000110000100000000000001000100101011010000000010100000100000000000010000100110110000100000100000100000000000010000100110", + "INITVAL_3C": "00000011101010100011000010010010110110100001010011001010000000011100000000000110000100000100001001110000011110001110110100000000100010010101000000001100010010010000101000000110000100011011010001110011000000110000111011100000010101000001000000001110110010111101000100010010110000100000101001101000010000010100101011101100", + "INITVAL_3D": "00000011010011000100000001010000111011010000000100001000111000011010011000011001000100101100010000010001101101100001011100010100101000101000000001110110011000100001101010001010010100000000111001101100000010010000100101100000001110101010011100001100001011011010000010001100000111010001010010100110000100011011010001000110", + "INITVAL_3E": "00011100101001000001000101000010010000100001100111001100010100010001101010001011000001110100000101100000001011000010100000000011110000000011000101101010011011010000100101000001101000001110110011011000000000001010001110110000100000000111011000010101101010001101000010000110010110000001110010000001111100010100111001101010", + "INITVAL_3F": "00010000101000011101000101000110000010010001001010001000100000000110100000001101000101100010100010100000111011101001011000000001101001110011000110110000100100000000100000101010000100001001011000010000000110110000101000000001110011100111100000000011011001100010000100111000111011110000010101100001111000011100101010100101", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9312 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9468 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.1.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00000000000000000000000000000000000000100001000110001000010100010100000001101000000111110100000111100000000011001000010000000100100000110101000001100100010101100001000111000010100000001000100000011001000001000100001000000000110000000000110100010000101000000000000000000010010110100000101100001100101000000001010000010111", + "INITVAL_01": "00000101000000000000000101000010000100000000010000001110000100000000001000101001000010011010110100110000101100000001000000010101110000010100000001000000000000010000000001101101010000001000000001010000000001100010100000100000001000000111000000000001110011111111000110000010111111110000000001001100110000000100000011110010", + "INITVAL_02": "00001100001000000001000100101110100100000000110011001001001000001000000011011000000001101000010101000000001010101111010000000010100000011001000001010000100100100000100001100001011000001010001001010011000000011000110111110000011001101100010000010101101011000100000000010110000111000001001001001101011000000100000001100100", + "INITVAL_03": "00000010000000101000000011000000000000100000000000100000001100000001000000001001000000100000110010000000000101000000100000001000000000001100000011010000011001010000100010001011010100000100000000001101000001100000000010000000001110000000000000010000000010100000000010000010000010110000000000100101010000011010011000101000", + "INITVAL_04": "00001000010000110101000111001010001010000001110000100011010100010000000000000100000011100010100000010000001000000001010000000010110011001001000001010010010000000000000000001000100100001001001000011001000110110010000111110000000010100110001000010000010011000101000000001000000000110000100011000000000000000000010001001000", + "INITVAL_05": "00011000010000001110000000100010111000010000000010000101011100000001010000010010000100001010000010000001001110001011010100001010000001100101000001110010011100000000010000000110101100000100100000111110000101011010011010000000000101000001110100001110011001101010000000000110010100010001010101001010101100001011001001111001", + "INITVAL_06": "00000000000001000001000000001100000001000000001000100000100000000010000000000100000100010110101100000001110110000011101000010001001000000010000100101010101110000000010101101101111000000000011010000001000010100010110100000000001100100011101000001001010011101100000010111100011000010001000000000101010100000000010010111000", + "INITVAL_07": "00011011110010100000000010011100100101010001001010101101011000000011100011000000000001010100011001100001010000101110010000000001110001001110000100100000100110110001100101100001000100001100101010110001000001010000100101000001010010000000000100000001100000100000000100000000001000010000011111100111101000000100100010001110", + "INITVAL_08": "00001101001000000111000111000110010001100000000000001011000000011100010000000111000001011010001000100000000010101011010000011011000000110001000010010010111101000000110110101100001100001100011000110000000110010110011010010001110100000110111000010001100010101000000001101000110111100001001000100101010100001010101001001101", + "INITVAL_09": "00010100011011010110000110111110111111110001001001101010111100000100111000110110000100010000011101000000001010100101000000010001111000100100000111111110110000000001111001001100000000010000000001100011000101001100100100110001000111001110010000001101111011110010000010000010110110110001000110000000100000000000110000111010", + "INITVAL_0A": "00000000000000000000000000010100100000100000000101000010100000000100000010100000000101000000001011010000110001100101111000000010111011000111000110101010000110010001111110101001000100000111110000110001000100001000101100100000010010100101010100000000111001110100000000111010101011100000001001000111100000000111101001100011", + "INITVAL_0B": "00000000000000000000000001001000100000100000000101000010000000000010000000000000000000010000000000000000100000000000000000000100000000000001000000000000000000000000000000000100010100000000100000010000000010000000000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000", + "INITVAL_0C": "00001010101000100000000011001110001101100000010100001100110100001010111010011101000001010100001101000001111110100011000000011110101000110000000101000000100001010000000110000100000100001011111001111100000011111010000111010000010000000010100000000101000000101000000001010000001010000000010100000010100000000000001000000000", + "INITVAL_0D": "00000000110010000011000101000000001101110001100000000000101000000000011001010100000010010000110101110001101010000000110100000000010001111010000110000010010001010000100110101000011100000001001010100101000000000110000000010001101001000101000000000110000000100001000110000000110001000001110001000011100000001000010011111110", + "INITVAL_0E": "00000001001001000000000000000000001000000000000000000101111100001010001011010000000000000010011000000000110100100101011100000100010000100100000010000100101000100000100100000111000100000100001001111000000000101000001111010000010100001011010000001100101000001101000000001000001011000001111100100101000000000110000011001010", + "INITVAL_0F": "00011100001010110011000101000100001000110001000000100110000100010000100000110001000111000010011000110001001010100010000000000100100001010000000010000000000000010000001001000000000000000100011000000000000000001000110000000000000000001000010000000000000000010000000000000000000100100000101000000000000000001001110000000000", + "INITVAL_10": "00000001100000000111000000000000100101100000001010001010100000010001000000100001000101000010111000010000101010100010001000000111111000000010000110100100110110010000000101000000111000011001001000100001000011000110001100010001110000101110010100000000110000010010000100100100000010000000101101000100011000011100000001110001", + "INITVAL_11": "00010000101000011101000111100010011110010001000011000010000000001100110000100011000001001100010010100000100000100000101000001110111000000001000000010100101101100000101000101100111000000111100000000100000000100010010000110000000111001101010000000101000000000000000000000000010000000001101011001001010000001110000000001100", + "INITVAL_12": "00011011000001100010000111000010001101010001101001100111101100000010011001000010000011101110101110010000100011101111000100011101111000000100000111011110001010000001000100001100011100010001010001101000000110000100101001100001100000001010010100010010000000100100000000010010010001000000001000100010100000010001010010000011", + "INITVAL_13": "00001000000001100001000000100110010010010000010011100101100100000010010000001011000100100000010001000001001011100010100000010001010000100001000000100100100001000000000101000001111000000100010000101101000110001000001010100000101110000111010000000101010011000100000001000010000001110000011111000010001100011011011000001000", + "INITVAL_14": "00010011100010011100000101001110110010000000111110001000100000001110101010111111000100000010111101000001000000001000010000000011100011010000000101000010110000000000101000000001110100011000100011100100000111001010010011000000101101000000010000001101010000000100000001000000001000000001010001101000010100010010100000101110", + "INITVAL_15": "00010100000001010001000000010110100100000000110101001110010000001000100010101100000101100100011101000000001000100010010000010111001000100100000010110110000001010001100101000010001000001001010000011110000000100010001010010000111100101001110000001111101010001000000101100010101000110001101110000100001000010011000010111001", + "INITVAL_16": "00000000100010100000000011001000001100000000000010001100001000010111001000010111000001010000100010010001000101001111110100011110001010010000000000111000010010000001100101000000111100010011110011100010000001111100001000010001010100001100011100001100001001111110000011001110111101010001010100001111110100000111101001000101", + "INITVAL_17": "00010010101010001111000001011110100011010001111110000111000100010010010010000001000110000110000110000001000000001000010000000011110010010000000011110100001001010001111101101100010000000100111001111000000101110100011011110000000100000010001000010001110000110110000001100000100000100000001011000010010000000000000010000011", + "INITVAL_18": "00010110101011100100000100000100100000100000000010000000011100000001100010011111000001000110011000000000101000001110000100000010000011000010000110001110100000100000100000101101000000011100111011101100000001110000110111110001000100101111110100010011110011101010000001111110000000110001010000100010011100000110111011110001", + "INITVAL_19": "00000100000000011100000000000100111110000000011100001101001100001000001000101100000001110000000010110000000100000001010000000000010000111010000111101000001000000000101011001000000000010100000000010000000100011100101001100000001011100000111100000011110000000010000000110000000010100000011011001000100100010010010011010001", + "INITVAL_1A": "00011110000001111010000011110000111011010000000001000011010000000000000011100001000110000000010100010001100000000101001000010000001010001000000000101000011010110001001001001110011100010011001000101011000111111110111111000001001001100100001000010011100001011100000001111100000001010001100101100000100100010000010000010000", + "INITVAL_1B": "00010000000000010000000001100000001000010000000001100111100000000010000001000000000010000000100001000000000110101110000000001000001000000001000010100010000000000001100010101001010000000001101010110000000010000110101100100001001111000010101000001000111000010000000011100010000001110000000000000000001000011000010000110011", + "INITVAL_1C": "00000000010001010000000111000010111000000000001000101010111000000110110000101101000011110010011010000001000000000010010000000100011011000010000010000000010101110001100101001000000000000101110000100101000000000100100101010000000000000101110000001011010000000101000011010110010000110000000001001110011000011000110010111000", + "INITVAL_1D": "00010110100010011111000101100010011011100001101110000011100000001000101000010110000000000010100000100000001011101110101000000001000010001011000000001000001000000000100010000110001000011000010000001010000010010000011101110001001101100010000000000000000000000000000000000000000000000000101000000101100000000101101000001001", + "INITVAL_1E": "00001000000000000100000000011000010110000000110000101111101000000000100010100000000100100000110000000001000000100011000000000100000001001110000000010000011100000000010010000010001000010001110000101001000111010100010000100000011010000001000100010100000010101010000001000000000010000001111101000100010000001111101000110111", + "INITVAL_1F": "00010010001001101000000000100100010001000001001000001110000100011001001000110001000100000010001000100001101001100000001000010001010001100100000011001010001001010000000101100101100000011101110010100010000010101100001000010000000000001000010000001000001010111000000010110000000110110000101100101010000000001101000010000010", + "INITVAL_20": "00001101001001000111000010100100101000110000100101000001111100011110011000001011000101100010001110100000110100000101001000001000000011010010000101111110001101000001110000001100100000000001010001000000000110000000001100010001110000100100011000010001000011110111000101000100111111010000001000000010000100000000001001000001", + "INITVAL_21": "00010000000011001000000010000010000010010000000010000001101100000000101000000001000001000010010001000001101100100111000100000100010001110001000101000100000111000001011100101101000000000001111011000001000000101100000100010000100110100101110000000001001001001010000111110100000000100001000111000001001100001000010001000100", + "INITVAL_22": "00010010000001000011000000000000001000100000000101000010000000000011000000000110000100101000000011000000000000100000100000001000001010000000000000100110011000100000000010001101001000000000010001001110000000001100000000100001100000000000101000010110110000111010000101100100000010100001001101001000010000000101101010101100", + "INITVAL_23": "00001100100010110001000001000100000010010000000001100100000000010110110000001101000010001000010000000000010010100000101100001000011011000101000001001010100100010000101001001100100000001100010000000010000110000110101000100000100110000000101100001100001000000101000010000110010000000000101010000001010000000000010010000010", + "INITVAL_24": "00010100000001011001000111000110111000000001001000000010110000000100001000000000000011100000010010110000110100001000011100000111000000111000000100011000110011110000000000001101101000000000001010100001000100000010000110000000000100101110010100011000010000110100000101011000100011110000110001100110001100010101001010000101", + "INITVAL_25": "00001000011000010101000000100000010000110000001010100001000000001000011000010001000100010010110100000000011010000000101100001000010001001100000000001010000010100000001001000001010000000100100000000101000000010100000100100000001010000010010100001100011010010110000100001000000110100001010000000000001100001000010001011001", + "INITVAL_26": "00010010110000000000000101110010001110010001010010100001000100000110000010010101000010010000010011100000111000001010000100011101010000010110000100001000100010000000110101000001001000010001000001100011000101010000010010000000110001101010100000001001010000011010000000110000110010000001000001000001100000011001000000001000", + "INITVAL_27": "00011001000000010100000011010110100101100000110110001111000000001000101010000011000000001010000010100000111010000011010000000001010000010110000001001000010000000001000000000000010000000111011011110000000001010100000000000000000010000000001000000110010001001000000110010010001000110000000001000100011100000001110000000001", + "INITVAL_28": "00001010010000000010000011000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001000011000110000010000000011101000000000010000001101000000000000010000101000000001", + "INITVAL_29": "00000000101000000000000100010100010010100000000000000000001000010000000000100101000001011010011000000001010000000001000100001001000001010101000100000100100000000000010010100010100100000000000010100000000000100010010010010000101000000010100000000000010001010010000110100000000010100000000000100001010000010010101000000111", + "INITVAL_2A": "00010100100000101100000000101000000000010000010100100110101100000000101000000000000100010100010110100001100000100100000000000010010010010100000101100000010100000000000100001010010100010101111011000001000010000000000100100001001001001000000000001010000000001000000101001000101000000000001010000000000100000101001000101000", + "INITVAL_2B": "00001000000000010010000100100100100000000000101000000000100000010100100010100000000000101000000000010000010101000011001100000000101000000000000100010100010010100000000110000001010000000000001000101001000001010000000001010000000000001000101000001001010000000001000010000000000100100001001001001000000000001010000000001000", + "INITVAL_2C": "00001010000000000101000011001010100000000000010100000000000000010110110011001011000000000000010100000000000110100110110000010010110000000010000000010100000000000001010110001001011000000000000010100000000000110100110010010000110000000000101000000000000010100100000101100000000001010000000000001100101000001001010000000001", + "INITVAL_2D": "00000011000001010101000011111000101100000000010100000010111000010100100010111000000000101000010001100000100001100001100100001001011001010111000000100000101100100000001010000011010100010010000000110101000111101000100101000000001010000000000100001010101010110010000110000000000101000000000001100100100100001011000001000000", + "INITVAL_2E": "00010010000001001100000010000000010101100000101010101000010000010101101011001100000101100010110101110000010000100011110100000000011000101001000000011100000010010001010100000000000100001001010001111000000010011000010000000000100101000101001100001001010000001010000100101010100001000001101010100010000000010100010000110010", + "INITVAL_2F": "00001010110011000100000001011000101010110000101101000000001000000000011010000101000000001000101101000000011110100100101000010010100011000111000011111000110101010000100110100100011100000101101001001100000011110110011100000001000000001001111000010000000000101100000101100000000010010001010100000001001000011001010010110000", + "INITVAL_30": "00011101001000101011000010110100011010010001101010000111010000000100100010010110000000110010001010110000101001001100101000011010000000100100000101100100110010110000110100001100000100000101000010010100000100001110001010010000000110000000110000010100110000100101000000001000001100010000110000000110000100001101101001100000", + "INITVAL_31": "00001000001000001111000010010100001011010000001001100010110000000010100000000000000100110110000010100001010010101010000000010100000000010010000010010000000100100000000101000110010000000101011010111001000100011000111001000001000000000101001100000110100000011000000010101010101001010000110101000101001000010010010010110100", + "INITVAL_32": "00000001010001010100000010110100001111000000001010000000001000000101001000110100000000110000001010000000000000000000100000010010001010100000000101001010101011010000000010101001001100011000001001001111000010011000000001010000011010001100000000001010000000000001000000101000011100000000010100001010101000010101011001001011", + "INITVAL_33": "00001000011000000000000010010010100101000000000110000101011000010010100000010010000101001000101101100001010000101001001100011000001001000001000000001000000100100000010110000101101000000001000001011001000000101010101001010000101000100101101000000001000001010000000110010010111111100001010000000101000000000101000010101010", + "INITVAL_34": "00010100010010000110000100100000010000010000100111000001100000000101100001010010000100000000101000010000001000001000010000010000101001000000000001000000010110110000101001100101001000000101001010000001000011101110110010000001001101100010010100010001000001100011000101010010101110100000000000000100000100001000101000001001", + "INITVAL_35": "00000011010000001011000010110110000000000000001010000100101000001101000000010110000100110010010010110001001011001000001000010001011001000100000000000100100111110000000110100101111100001101010000101100000011101010110100000001001011100100010000000101010000010000000010010000100100100001001000001000100000000011001001001100", + "INITVAL_36": "00000111111000000001000010110100010001000000010001100101111000010001110010000001000000110100011001010000010100101110101000010101101001001101000010100010101000000001010000001001100000000000101010111010000011110110000001000000000010000001000000001000010000101000000101001000000001010000100000101100110100000110010010001010", + "INITVAL_37": "00010010010011010000000110000000010000010001000111101100011100001010001001011010000001010000011010110000000110001000010000001000110011110111000001010010101000000001010011101000001000010110011011001100000010101010000111000000110001000010000000010100010011010001000000010010000001010001110000100011000100010011000000100110", + "INITVAL_38": "00001111100010000101000110010110011011000001000011001001001000000110000000001110000000100000001100100000001010100011001000000100010000010100000010110000110010000000110001001000100000000011100000010101000000001100101000010000010110100001100100001101110011010011000101000100000110010000010100100101000100001000011000101001", + "INITVAL_39": "00010001111001000101000000000010010101010000100101000111110000001100001000110101000000010000011000000000011000000000000000000100000000010001000100000010100010000000101000100000100000010100110010011101000010100000001001000000110010000100001000000000000000100000000001111000110101010001100101100111110000010000100011001011", + "INITVAL_3A": "00011000110000111111000000110100011010100000011010000001010000000000001001001100000001100100000101000001011101100010101100010100000000101000000000000100100110100000000001100000000100000100001001101001000110101010110010100001001110100100111000000110101000010101000001111000000100010000110010000000010000001101001010000000", + "INITVAL_3B": "00011000010000100001000011000000010100000000010000000000010100000011000010110010000000001000000110100000100110100010110100001000110000000111000001100010100000110000101000101110000000010100000000000110000011000110000001100001010001100101000100010100110010100011000110000010010000000001100010000001010000000001100001011100", + "INITVAL_3C": "00001011010001010001000011010000101011010001001001001000101100001000100001011101000101010010010001010001010001001100000100001000001010100001000111001110010001000001101101001100101000001101000010101101000011000100111010110000001000100001000100010001101010110110000011000000000000010001101011101010100000010001000001110100", + "INITVAL_3D": "00010001011001000101000010111100101010100000000010100011101000000010011001000010000100001010000101110000100101000010110100000101101000101000000101101000010000010001111101000101000100001101001001101001000010001010101000100000101111001101001000010001011001001011000010010100001011010000001001001011011000010010100001011010", + "INITVAL_3E": "00000010111001001010000001000000001111110000000001000001010000001010001010110110000011110010110111110000010001100100101000000010101010100011000011101000110100100001000101100100011100001011000001101001000010001010101000110000111010100111010000010100010011010001000111100100011010010000100010101010001100001110101011010010", + "INITVAL_3F": "00000010000000000100000001101010000010000000100001101001000000011010100000111001000000010000010000110000001000001110010000000101101000001011000010000010011010010000100010101010010100010100101000010110000100000000001101100001101001100110000000011101101000000011000110100010111000000000101001100110000000000001110010011010", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9570 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9544 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.1.1.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00000000001001000000000000100000000001000000000010100000000100001000000000010000000000001000000001010000000000100100000000000010000000000100000000001010000000010000100000000001000000000000100000000101000000000010010000000000001000000000010000000000101000000001000010000000000100000000000010000000010100000000001001000000", + "INITVAL_01": "00000111101000001100000011010110011000000001001101001000010100011010001010100101000000101010110111000001101010000000110100000000011001000000000100100000001001000000000110100000001100001000000010010000000001001000000011010000000001100100000000010010000000100100000000001010000000010000100000000001000000000000100000000101", + "INITVAL_02": "00011000000010001101000010000000101100110001100000000011101000010101100010100000000111010100011110010001001110001101110000001000100011011101000011100110111110000000100011000001101000000100010001000111000110000010011010100001011000101000000000010101000001000010000000001000011000000001100100100010011100000000100000011110", + "INITVAL_03": "00010011010001101011000111100110001110100000111000100000001000001101010001010010000110010110011001100000000010000101001000010010100000001100000000000000000010000000000101100001100000000011100000011000000010101000000011100000001100001000000000001000011000101010000100110010000001110001000000000000000100000000000000010001", + "INITVAL_04": "00001010010011010000000000000100100001000000100001000101110000000101101000000111000010001100000000110001001010000000100000000000100001000000000000100010000100100001000110000100000100011000110001101100000000011110000011000000011011000000101000001101010001101000000001011000111100000000101000001001110000011010101000110010", + "INITVAL_05": "00000111010010000000000010001110000010000000010101000111110000001100001001010100000100010010100111000000000001000101100100000110000001100110000100101100000100000001100100000110101100000000010001000000000100001010000111100001000001000000000100001001011001100000000001010000010101010000101011001011011000001101100000010101", + "INITVAL_06": "00001000100010100001000101010110100000010000000010000010100000000001011001010000000000001100100000000001001011100000001000011000101001101000000010101100100000100000100001000110110100000100000000110010000110000100000010110001010000100101101100011100000000110010000011110010100110000000001111000011100000000111000001111100", + "INITVAL_07": "00001011010000101010000001000100010000110000100000000100101100001100010000000000000000000100110101000000111000101000010100000000001000101100000001000000101100110001111000000010110000010000011001111000000010100100010010000000001100000010100000010100010010001000000000100000111100010001110000000100001100000000100000000001", + "INITVAL_08": "00010010000010110101000110100000100010000001000100001001011000001111010001000100000010010000100010110000101000001011000000010001000010101000000100100000101101000001001000000001100000000101100000010001000000000100001111010000010101000000011000011110000010011000000100001000010110000001101100001111100000010100000001010001", + "INITVAL_09": "00010011011000000001000001000010000100000000111010000100010000000011100010011111000000011010000010000001000100100001101000000000100000011010000000111100000000000001100000100000000000000001000001100110000010011110000000010001000001100000111000010001101000001000000001011000000100000001101101100000010100001101101010000101", + "INITVAL_0A": "00000011111011011101000110111010010101100000110011100001100000000101000010001100000000000100000100000000100000100100010100000001100000110101000000000100000010110001000000100100000000010100111000000100000100100100000110100000100100100100101000000001010000100000000101010000001010100000000111000001100100000100011011001011", + "INITVAL_0B": "00001000001010100001000101000100100000010001000000101000000100010000001001000101000000010010000010100001010100100000010000000000010010001001000010000000010101010000101010000000010100001101100010010100000001010010001000010000111000100000101100010001100010001010000001001000100100010000100001000101101000001100111000001000", + "INITVAL_0C": "00010000100000111100000111100000110000000000000010100001101100001000100000010101000000000000010000110001100100001000000000000100101000001001000000110100101010110001110101001100100000000000010010010001000010000100010100000001011001100111000100000000101001001000000110101010000101110001000110100011110100001000100000111010", + "INITVAL_0D": "00010001101000000101000000111100001110000000110000000010110100000100011001101010000110100100000101100001011010101010000000001000011001000100000000101000001011000000010010101010011000011100000010001001000101000000000000100001000000000010100000001101100000010000000001010000100000010001110110000101000000001000001001000011", + "INITVAL_0E": "00000010100001010101000110000000100011000000110010101100101000000001010001001100000001001100010110010000000011001000000000001110001000011010000001010000000010100000010000100010110000000100101010110001000110000010010001000000011000101101110100001000011000000010000100000100000010100001010010100011000100011010010000000010", + "INITVAL_0F": "00001011110010011101000110010010110111000001001010001110100100001001110010010100000110010010110111100001001110001000011000010001101011100101000100001100000011010000100010100110100000000010010010000101000100111100001101100000010000000010000000010101000010000001000010001010000010110000001010000000101000000100111001000011", + "INITVAL_10": "00010010100001111000000011000000101000100001000000100100000000010100101001100101000100011000101001100000101101001011001000011011000010101101000000000100000010100000010000000111101100000000010000000101000000000100000100000001111001000100000000000001000010001010000000001110101101010000000100000100110000010000100011101000", + "INITVAL_11": "00000000000010101000000110100000000000010000010110100001110000011000100010101010000010001000100101000000100001000010100000001110100000000000000100001010011001110001111101000000001000010000101010001000000011000010010000000000010100001001010100010000010001001011000000001010101100010000000010101010001000010110000000011000", + "INITVAL_12": "00010100011001000001000110101100011001010000101000100110001100001101010000110101000001010010000000000000010001001000001000010010110010001110000111000100001001010000001000001000100100001000011010100000000001100100110011010000100010100101000000001110100000011101000110101000100001000000001000001100001000010001001001000100", + "INITVAL_13": "00001000000001000001000110000000011011010000000001000001010100000000100000000010000000110110001101010000010010100110010100000011100001001000000010101000111000000001000010001000000000010011000000001100000100001000001010000000010101101100001100000000100010001110000101100110010100010001111011101100000000011101110010100110", + "INITVAL_14": "00010100110000101011000101001000000011000000110010101011001000010010110010010000000100010110010000010000000010100000000100001000011001001011000001100110100100000001011001100011100100000001011000110011000010100000101100110000011110100000011000000000010010110101000010101000000110100000000101100110001100001001110000000110", + "INITVAL_15": "00000001011001110010000110111000000001110000000000101011101100000101110010001011000010000010000000100001000000101000100000000101000000011000000101110000000010100001000101100100000100000001000011000000000011100100001111000000110101100000010000001101000001100011000010000100100011010000010000000100010000010000001010011100", + "INITVAL_16": "00001100010010000101000001010000010100000000100001001101010000010100101000000100000100100010111101000001000010100010011000001011011000010010000000000100110101000000010000000010100000010110000001000010000101100000001100010000010000101011010100000000001011010000000100010000000111000001100000100101011000010110100000110101", + "INITVAL_17": "00000001100000101010000000000100110100100000100111100000000100001100101010100001000010000000100101000000001011101011001100000100010000001110000001000100100000000000000000001011000000000101010000010101000010001010000000100000000110100000011000010100000000110111000100111010101100000000100110000100000100011011001011001010", + "INITVAL_18": "00011000000010001001000011010000000000100001101000000010010000010100000010111110000100100000011010000001100101100110010000001011010000101100000101001110001011010001001010001001110000011001000010000010000101010000101000000000000101000010100000010110000001001000000001011010000001110000010000000010110000000001111001100101", + "INITVAL_19": "00010000100000011010000100000000001001100000000010100000010000000000001000011000000011000110011000100000001010000011001000000100001000001111000000100000000101000001000000100100001100001011001010001101000100101110000110000001011001000000000000011001111000011000000100010010001101100000011001100101000100001100001010000110", + "INITVAL_1A": "00010110011010110001000000000000101000100000001101000011110000001000101010010011000010011110010000100001000000001000001000011010101010011010000000010100000111100000001100001110101000001000001000100011000101101010000010110000000011000001010000000010011010100000000110011100000001010000100010001000001000011010100000100110", + "INITVAL_1B": "00001001001001000111000100011100000100010000101000000100000100011001010001011001000100100110000000100000101110100001100100001110000000100100000110010000000000010001001001001110100000000001011000001010000100000110000110000000010010101010001000010000110010010010000111110000100101000000011100001010101100000111011010010000", + "INITVAL_1C": "00010100011001000000000101000000110100110001100000000110100000000001000001101001000000100010001011000000001000001000110000000110110001001101000001100010001000010000011001101000110100011100000001101000000100010010011000000001011010001010000100000110011000010010000000100010001010000000001111001010110000010110100011011010", + "INITVAL_1D": "00001100100011010011000000100000100110000000110001000100001100000000001000100100000000000000011011100000001011100100000000001000001001101010000000000010011101000000010000000001011000010101100000010000000100100010100110000000110001000100101100000000001000100100000001000000111000100000101000001101000100000010000011000100", + "INITVAL_1E": "00010110100001100010000011001010100110010001111110000011011100010010000000100010000001101110000001010001010001101001001100000101100010111010000011110010000100010001111010000000001000000111010000001000000010010000100110100000010001000000100000001010000001000010000010000000110100000001000000000100001000010110011011000011", + "INITVAL_1F": "00001101000011001010000100111100010011110000101101000000001100000101000000101000000011110100110100010000001100100100001000010100001010100000000000010100001000000001001101100000100000011010011010001110000000010100000111000001010001100001010100001100100000110010000001000000100001000000101001101100001100000000011011100000", + "INITVAL_20": "00000010110010100010000101001000111010000000111100001100010000000110101001000001000101101010000011010001010110000100011000010001011001010001000001000100100011000001010101001010000100001011011001001110000100010000001010000000100100100101000000000000100001100101000010001000011001010000100010100001010000001010000010110100", + "INITVAL_21": "00011100110000100001000100100100000010110000100101000001111000011110100001011010000000101000001101000000110110000011010000001000011011001010000010011100000001010000001001000000101100001000000011011101000010000110110000000000100000000000011000010001000001101010000100001010000101010000001001000001101100001011000010001101", + "INITVAL_22": "00011110000010000001000011010100100010000001000001100001010100010100010000100101000101001010011001110000010000001011010100000010001011101000000100101000011001010000000100100011001100011010100000001000000011000000011001010001011000100111110000000000001010100010000100001010101001010001101010100101110000010010110001001001", + "INITVAL_23": "00001000011001011110000100010010110001100000101000001001001100010111101001000100000000110000000110010000011110000100101100000000000001101100000101000010011010010000111010100100101100000100101010010010000011110010100010000000110010001000000000011010010010000111000101111010000100110001000010100000110100000110000011010011", + "INITVAL_24": "00010100100010001111000010100000001011000001100001000101011000010111101000100001000000010100000001000000010100000001101100011110100000001101000010110000100001110001000011000011101000000001111011101010000000000000010101100001001000001011010000000010110011010100000110010000100100100000011001000011100100000100010001001100", + "INITVAL_25": "00001000100000101010000100000010011001100000001001000000011100000010101011001111000011000000100011010000011010100100101100000110000000000111000100000000100000100000001010101000111100001111100000111101000000011010010001000000000001100110000000000100110000000001000111001100000010100000000111101011001100000110001001100000", + "INITVAL_26": "00001011110001011110000000101000101111000001111101101100011000001001001000101100000000001010000001100000110010101011100000000010100000001011000100111110000010010000010000100110110000001010010000100010000110001100000110100000101000100110100000000010011000010001000001100010000010100001011011000011100100000101001011011001", + "INITVAL_27": "00000001111000101000000011010000100001110001010100000110101000000010001010100000000000111100000010110000001101000000011100010110100010100001000100000110010111110000111100101111010000000001011000001001000001110010010000110000010000101000000100000100101011100111000110111110011010000001100100100110000000000101001000000100", + "INITVAL_28": "00000101111010010010000110000110001010100001000010101010010100010011010000000101000000011110010011110000011010000100011000010100001010100001000001000000001110100000000000101101000000010001110000010100000100001010011010000000101011001000010000011100100000100011000010000100000100110001010111000001111000010000110010100101", + "INITVAL_29": "00000001101000011100000101000010000000100000011010000100101100000000011001010000000110101000000011110000100001001011011100000100001011100010000101010000001010000000010101000100000100011000011000101110000000101010110010000001001110001011011100010100010011110001000100101000001001000000110100000110110000000110100010100110", + "INITVAL_2A": "00000001111000001111000010000110010000000000110000101001101100001101101010110110000110110110011011010001011011001101101100001101101010110101000111101010111001010000100100000001010100000100001011100011000000100110100101100000101100100001010000010110111010000110000100011000010010100000101000000011010000010111100000111101", + "INITVAL_2B": "00000101101011100011000000010110000110010001010101100001011000011110101000100000000001011000011011010000010110100101000000000100011001010000000111101110101100010001001100100101000000011010001000101010000101100010101010110000100110101000101100001100000000000101000001110100000000100001000000001000011100010000001010101011", + "INITVAL_2C": "00011011100001010100000011001100001100110000101011000000100000001000110000111010000110011100110011000001000110000011001100000011000011101010000010001100001000110000110101100001000100010011010000110010000001101010100001100000011010101001000000011110101010011001000100001100010100000001101010101110010100011101011010110111", + "INITVAL_2D": "00001001100011101011000011011010011100100001100010000110001000000011101001100111000011001010110101100000001100101000110000001010101000100011000000100010010100010001000100001100100100000011001000011010000110000110001100110000000110000111101100000100001011101011000001100110100001100001010111100010110000001100001010000111", + "INITVAL_2E": "00001110010011011000000011101110100011010000100000100100000000000010100010100000000101000000100111010001001010100100101100001000110001111011000010000100000000000001000010101011101000010010110010000111000101010110101000100000001010000010110100000110000000111101000001000100100101000000000101000010001000000010110000001110", + "INITVAL_2F": "00001100010000000101000100111010100101010000100110100001101000000001010001101000000000101110000111000000000010100100011100000000110010100011000010000010010100000001111000101011111000011000011011001100000101010100001010000001010000000011000000000010010010100000000000010000010010100001011010000011001000010011001001010110", + "INITVAL_30": "00000100001001010001000100110000110000010000101010000101010000001010100001000110000100100110011011000000000111000101000000000101000001111001000001011000000100000001100100001110100100001110101000100011000100101110110110010000001000101011001000010000011000010010000100000010000101010000110100101010010000000101100011010000", + "INITVAL_31": "00010001110010100010000111001010011001100000110011000011101000010001000000000101000000101000000110000000101010001010111100011000101010100000000101000010100100000001100000001001011000001010111011111100000101001010110010010000010000001010000100010011000011101010000001011000000101000000111001100001110100001001101001010001", + "INITVAL_32": "00001100101000001011000011000010001010000000101101100010000100000011101000110101000001010000110010010001110100100010100000011101001010100000000101000100000000000000100011001100111000010001110011100000000011011000000010000000101101000000101000001110101001110000000010100010010111100000111010100110110000000001010000011001", + "INITVAL_33": "00011100111000011100000010010000101100100000111001101010001100001011100001100100000110010100011010000001100100101110011100001010110011100001000011111100110010010000111101001010110000000010000010100100000101101000000000000000111010000101100000010010110011100111000000010110010111110001010011000001000000010100001010100001", + "INITVAL_34": "00000001000011111010000011000100101001010001010100000110100000000001001011111101000010101110101010100000010100000101101100010100100000001111000110111110111110010000000011000001100000000100010000010001000011101000000111100000010010100000110100001000010011010001000110100010100000100001101110100100110100010100111011010011", + "INITVAL_35": "00000101000010101101000000101100000010100000000010100100010000010101000001011010000000010000100010100001101000100111100000000100101000000000000000001010101000000001011001001100011000010010010010101100000100001010111100000000001011100000100100011010100000110101000000010110000110010001101000101000011100011010000000000000", + "INITVAL_36": "00000001111010101000000011100010010110000000011010001110111100000001100011100111000010011010001110110001100000100100101100010000011001001110000010010000011001000001000011000111010000011110100010100001000001001100101000110000100010001001101000010001110010011111000010010100000100100000101010000010110100000000100001010001", + "INITVAL_37": "00010100001000000001000100000100111010110001011111101000100000010010010010000100000101100100110010010000100011000011010000011101000000000101000101100100001110000001001010000010010000000001000010000101000000111000000110010000001101000101110100000110111011000110000110110010000110100000000011100000000100001011011000111001", + "INITVAL_38": "00011001001001101001000000101100000001100001000110100000110100000000101000000010000000000010010110100001000010001011110000000100110000010100000001001010000011010000100010000100000000001001101010110110000110011000000011010001111000001111110100000100011001001000000000010100000011110001011010000000011000000010001000000110", + "INITVAL_39": "00000010011001000111000001101010010100110000100010000011111000011011001010000101000100010000010110110000110011001111001100000110001000011001000010001010001100100000100000101110011100000101100011010000000110010100001010010001011000000100000000000000000000000011000110001000001011000000001000001100110100010000100001011000", + "INITVAL_3A": "00010111101000010101000101010000101011010000101010101010110100001101001001011011000100001100001010000001001000101010001000010001010011001111000011000000011110010001011111000010101100010011000001000101000001001000000000010000100100101000010000000110000010100001000101000010000011000000010100000101100000010010110011001001", + "INITVAL_3B": "00001100101010000001000101101100100010010000000001100100000100010001101001010100000110010000111010010000101100101010010000001001100000100010000000011010011110100000000101100011011000010100110010010001000000011010000111000001110010000011001000001100010000101011000011000110100100000001111101101000100000000101101010100101", + "INITVAL_3C": "00000101001010000001000010011110100101110000100110001101001100001110010011100000000001101000101010010001010010000100000000011000011000000010000000001100001110000000010011000011100100001000101000001010000000000100100100100000010010101110000100000001001000000100000110001110101000000001010100100011100000011101100001001010", + "INITVAL_3D": "00001010000010110100000000100110100100100000001001001000001000000011001001000101000010010110000010100000010100000101100000000100001000111101000001000010100100000000010100101110101100010100001000100111000101100110000101010000000110001010001000010100010010011111000000001010000110000000101000001011101000000101011001000001", + "INITVAL_3E": "00010110011000100001000100001100100110000001101000000000010100000000011000011001000100101100100000000001001001001101010100000011010010100100000100100100011010010001010001101100000100001000000000110000000111001110110011000001001110101001000000001010100000010000000110010100001010100000101101100010101100000000000001010000", + "INITVAL_3F": "00000110101001010101000111001000100100000000010100000000001000000001100001101010000000100100111011110001110000001001001100010100001000101001000101000110011001010000000011100100111000001000101000000011000000101010100001010000001010101010110100000010000000000010000101001110010100010000000110100001000000011110000010010000", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9536 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9538 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.1.2.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00001101000000111110000111100000011101010001000011001110001100010101111011010000000010111000100101010000010000100100010000001100101011101011000000110010100000100001011000000101010100000010010000111010000110000010110010000000100100000000010000000100000001100010000111001100111100010000100000001011010000000101011001011101", + "INITVAL_01": "00000100001011000101000011101000000100000001010111000000000000000011110010110010000110111010011100010001010000000010011000011000011011111000000011001010100101110001010110000000100000001011001010110110000110000000010010110001010110101101100000000001101010000010000100100000001010100001110111000000011100011111011011101100", + "INITVAL_02": "00000000111001110101000011101000001100000000111100101101111000000101001011101111000000110000001001100001101100001100110100000101110001010001000000110100000000000001010001001000000000011010110010100010000100101100001101000000001110100001010000001011110001111011000000011010000001000001011001000001100000001100101000110100", + "INITVAL_03": "00010110100001010001000101100000110000100000101011000001011100000001001011100111000010000000100011000001010100001101000000010010010011110110000101000000001100010001010000101101110100000000001010001101000010110000000101000000000110000101000000000010100000110001000101000010110101100001101001101100010100000001010000001010", + "INITVAL_04": "00010100001000100000000000010010000010100000010001100110110100010011010001100100000011011000001001100000010001100010101100010100000011111011000110001000101000010000010100100000010100001100101000110100000110001100001101000000001111100111100000000111010000001011000110100110101001000000010010100110010100001101000011010010", + "INITVAL_05": "00001000011001111100000100010110101001100001000010000000010000011000101000011000000000010000011010100000001001000101101000011010000000101011000000010000011010100000001001001011001000010100101010100110000111000010100011110000000110100000100100000011010011101101000000010110011001000000011110000011001000001010000010010110", + "INITVAL_06": "00001000100000001001000100111000101010010000000101100110100000010010001000010000000000010010101110100000100100100100100100001110001001010100000001001000000010000001010000101010111100010010001010000101000100001110001011000000100011000000100000001100001011011010000111010000010010010000000010100010101000001010111000101000", + "INITVAL_07": "00001111100010001000000011100000101101110001100000000100001100001001100011101010000110000010000010100000000110000001000100000101000000100101000100010100000001000000000101000001111000011010100000110100000001001010110011100000001111000110000100000010010010000110000101000100110001100000101111000001011100000001011010101000", + "INITVAL_08": "00001110000011100000000101110000000010100000101110100000000100010011011001100100000010011010110000000001100000001010001100011000001001001011000000110010011010000001000110100010000100011011100011110101000111110010001001000000000010101000011000010000110001010001000000100110010000110000100100000011001000010110000011001000", + "INITVAL_09": "00000001101001000011000001100010100110100001000000001101110100001010000001000010000000100010110000110001100010001100001000010000001000101000000000001010000001100001101011000001100000001000011000001010000000110100000000000000101110100000100100011000000000000010000001010000011010000000001010000011110000000111000000001101", + "INITVAL_0A": "00000101000001111011000010010100111101100000100100000101000000000011010001100000000110100100110010110000101100000101011000010000011010110000000001001100010000000000110001000001001000001110011001011010000110100010110000010000100101000010100100011100011010100001000000100110100010110000010101001001000000000011011001010010", + "INITVAL_0B": "00000011110000100001000101101000000001100001111011000010000000010100001001100000000000101010001011010001010000000100001100001000010000100010000000011110001010010000001000000111100000000001101000000001000100010010000010100000000000101000011000010000011000001111000000000110110010000000001100000010100000010010010010110000", + "INITVAL_0C": "00000001110000011001000100001100000010010000011010101010100000000000101011010100000101011010010110110000000001001010010100011010101001001000000011010100100100110000101010000011011100000001000001011000000000110000101001000001010000100110101000001100000001011010000101101000001010010001010000000100100000001111000001001010", + "INITVAL_0D": "00001110100000100010000010010000101000010000010110000000100100000110010000000000000010100010000000010001010000100010000100010001010010000110000110011000100001000000001010001100110000000101001010001010000100001110010011000001000011100000001000010010100011011110000000000100100010000000110110100100001000010100000000000101", + "INITVAL_0E": "00000100000000101010000011000100111000110000010001000111001000000011000010100010000010101000011001100000000000101011010000010110110001100000000101011010000100000000101011101001101000001011011000010101000000101010100101100001010010101110000000011110100001000010000110000100101101110001000100100000101100000101011011011100", + "INITVAL_0F": "00000100101010110101000100100000101101100001010000100110101100011010001011110011000100010000010000010001110100001000011100010011011001101111000001100010000111110001010101100011011000001100001011001100000100011000010100110000110101100101111000000110010000101111000011000100011001000000011000100100010000001100110010100011", + "INITVAL_10": "00000110110000001000000110000000101100000001110101100010010100000110001001100100000100000100110001110000000110101101111000011100111011001110000100101100111101010001011110100110101000000000010010010110000001110000100010010000000000101011101100011010001011100100000011110010000011110001100111100001010000010111110000011110", + "INITVAL_11": "00010001100001111011000001011010110001110000001100101010010100001100100010001100000010101010100111100000100001000110110000011001011011010000000100110010001001010000100001000100100100001000000010100001000101010110000111000000010000001001100000010110000000111101000000101010001011000000010010000110011000000001000000110100", + "INITVAL_12": "00010000101001000010000110010010110100110001100011100000110000011011111010101100000011110010011000010001111000001000001100011010000010110101000100111100001001110000100000100110100000010100110010110011000010000100010101100000010011000100010100010110101010000011000101000000100001000000101110100110010000010101011000010100", + "INITVAL_13": "00011001110001111110000001001010101101110001001101001000010100010011001010111110000110101110000000110001011111101001110100010101100000100011000110000000111100100000100101101010110100011010111010010111000100010110010110100001010111100100001000011011000001010110000001000100100111110000100000001101011000011001001000110000", + "INITVAL_14": "00001101101001100001000011100000101101110000100101100001101100000100110000001101000100101010010010010000110100000010000000001010000001001101000010010110010111110000010101100100010100010100000000110110000001110100000101100001111010101001111000000101110001100011000110111110011001000000100000001000011000001000010011001000", + "INITVAL_15": "00001010011000010000000010100000010000100001000110000001100100000000101011001000000101101100010101100000101100100011101000000110010000000010000011110010000011000000100110001010110000010100010010000110000100100000110001010000011001000000101000001001001000011000000001100100001010000000001101000110000000001011011010011100", + "INITVAL_16": "00000101100000101001000100010000101111000000110011001010100100000011000010101101000000101000010010010000001100100110110100010001010001011011000011111110110110010000010010100000100100001110110010000000000000111100000000000000010000001010000000001100000011000110000110100000100100110001000100101000001000000011110001010000", + "INITVAL_17": "00010101000001101000000000010110000010100001110101001001010000000101101011111100000010000100110111100001100010000010110100010001100001101010000000100010010001000000000001101110000100001000000001111110000000101000001000100000100000101110000100010001100010000110000000001010000100000000110001001011011000010010100000100010", + "INITVAL_18": "00001000000000100100000011101100000101100000010001001101100000011111111000100001000011011000100110100000001011100011000000011001001000001011000011110100000011100001000011100101000100010110011000111010000000110000101101000000000011000100011100010111011001011111000010001110110101000000011001001101001000011011101010110110", + "INITVAL_19": "00000000000001010010000101000000011000010000100111100000100000000000110010011100000000000000100000010000111100000000011000000000100001100000000001010000000101010001000000000110000000001001110000000000000111000010011110000000000111101000010000001100000000000000000100010010001000000000001001000100010000000110000011011000", + "INITVAL_1A": "00001110000011000010000101010000010100000000001101101011110000001010110001000000000101010010100000000001001000101011011000011100110001011100000010000100000001100001011111001000100000000000010010100001000010001100000011000000100001101000001000000000000000001001000100000000101000100000100000000011100000011101010000000110", + "INITVAL_1B": "00001101100001110001000110000000111000100000010100000010111000000001000010100000000000010000100001110001000000000100000000001000011000100110000010110000001110100000010100000001000000000011011000001101000100001000010000100000001000000100010100000000011000000001000100101010000001010000000000000011000000011100000010000000", + "INITVAL_1C": "00001110001000101100000010101000100011110000000100000101000000000010001001000000000101011100000001110000001110001101000000001000100000100100000000101010011010000000000100101110100000001000001001010101000000010010000110000001001100100000100100011010101010101100000101010010100010100000110100000001000100011011011000000100", + "INITVAL_1D": "00000000000000000000000000000000000000000000000001100001110000000011010001101011000001100000000001000001011001101011100100000101000000101100000100000010000011000001111010100100010100000011010010011100000100100100000001100001101111000100010100001100001000000001000000000010010000100000000101001000010000000101101000110001", + "INITVAL_1E": "00000100010000001011000001110000110001110000011110100111001100000011000010001100000000111000001010110000100110000110011000000101011000001110000101010100110101100000110110101000110000000011100001100101000111001000110110000001010000100011110100000010010000011101000111010100100110100000001010000000000000000000000000000000", + "INITVAL_1F": "00010000100000010110000100010000100010000000110010000100000000000001000000000000000110000000000101100000001000101000111000001000010000010000000110010100000111000000000110101001100100000011101011000010000000101110000110000000101000101011000100010100001000110001000111000110001101100000100001000000010100010100000000010111", + "INITVAL_20": "00001010110000001111000011001100001001000000010011000001101000001011000011010001000000010110000010000001001001001001101000000010101011100100000101100000000101100000011000101011000100000001010000011001000000100000101010010000000111100000000000010101110000010110000010000000011010000001001000100000011100000010000010000000", + "INITVAL_21": "00000011011001000100000001011000100110100001010001100010110000011101010000000011000000010010001000000000100101000001001100000001101000001000000101100010000010110000010110101010001000000010110000001101000010101100001001010000100001001100001100011101101001000010000110010000100000100001011110100101101000011010001010100010", + "INITVAL_22": "00000010010011001110000001100010010101110000101101001100100100000100000000110100000000010110000011000000100010000011010100000010001011100000000100000000000010100000001011000001010100010011101000101000000010110110010011000000100001000100001100001011010000011010000000000000010010100000001001100000110100001101010000010110", + "INITVAL_23": "00011110110001001000000010010000010110000000110001000010100000001110001000110010000101111010001000100001001011000100000000001110100001010101000100011110100010010001110010000000010000010011000010101110000100011000110100000000001010000000110100001000010001011111000001010000100111100000001001001101001000001001010010001110", + "INITVAL_24": "00000011110010000001000000110100000101100000001000101010001100001001100001010101000000110100001000010000110111101111101100000011011000100100000011001100101100010000001101000001101000010000100010010011000110111110100101100000111010101010110000010100001001100100000010100100110111100000001101001000100000011101010011011111", + "INITVAL_25": "00010100100000101011000001100010000110000001001001000010000100010010010011000001000001011010000001100001001100100110011000001010001001000001000001010000100101000001000011100010100100000111000000001100000101001100001000110000100101000010100000001100010011000000000110001000001011000000010000100010000100011100011000000001", + "INITVAL_26": "00000010110010110101000001000010001010000000100001000101001000010000100010101001000000010100010110100001101010001011010100010000110011110011000100101000010011010001010010101110010000010110101010101001000011111110101001000000001001000001011000010001101000100101000001011010011010010000011011101110101000001001010011010100", + "INITVAL_27": "00000100010000100000000001000010001011110000101111001011001100001001000000111010000100001010001100010000001000000110100000000000010010010110000100101100011010110001010000001010010100001010110001110111000010011000001000110001010010000100110100000001001010001000000111000100100001010001001000000011010100000001101001010011", + "INITVAL_28": "00010111100011010011000000001100001101100001101100100010001000010110000001100010000001011100101101010001110001001101000000010010000010100000000010010010000000110001110010101010111100010010010011010001000110011010011001010000110001001101111000000110101010001101000011110100010000000000011010000000111000010101101011010110", + "INITVAL_29": "00011011010001010000000101100000010101110001001010100110010100010110101010101111000001100100100101010000011000101001101000010001001010110011000000010100011010000001001110000011010100000001011001101011000110010000100010100000101000000110000100001010110000000101000000000000011110110000111101000000110100000010011000011000", + "INITVAL_2A": "00010010001000010010000010000000000001000000010110001111000100010101011001101000000110011010001000000001100011001101000100000011001010000010000011000110000101100000011001000011000100000101101010100010000101011010010001110001100110000110110000010100011000000100000010111010001000000000000110001011001000010001011001011010", + "INITVAL_2B": "00010000100001100001000000000000011100010001000111001001000100000011001001000111000011011000001011000000110010000000000000001011001000011000000110100100101000000000000000000000111100010100010010000110000110000010000000000000001101100011001000001100010011011110000111110100101000000000001010000110110000000010000010010010", + "INITVAL_2C": "00010100010001000101000100100010000110100000000011100110100100010000000000010000000100001100011011010000001011101101010100010110100000011001000001001010000010010000011111000100110000010011000000000001000100001010100000000001101000001101100000011111101000011111000100011100011110100001100000001010111000011011000011100001", + "INITVAL_2D": "00001100100000100100000100100100110011010000100100101010101000001000110010000011000100100000000100100000010100000001101100010101010011001001000001000100000101010001000010000110000100000011000001000000000110000100001100000001101110000001000100000000010001100010000111000110111101110000101000000010010100001100001001100011", + "INITVAL_2E": "00000011011001101011000010000000100101010001001010100110011100001010001000001011000001100000100001010000001000000001100000000100010000100110000011001100001111010001000100000011101100000011010010110110000010110000100101100001110000100110010000001000110000001101000000000100001000100000011000101011000100010101011001111000", + "INITVAL_2F": "00000010100001100011000100000110001000010001100001100101000000000101001000011011000001011010011010100000000010100010001100011010101010100110000101101000010101000000001010001101111000001110000001110110000011001000100010010001111101000100010100000010101010000001000001000000010101010000011100101000111100010011110010010111", + "INITVAL_30": "00000010000000100010000010010000001000010000110000001010001000000100001001100111000001001100110110100001000101000001101000000010110010100011000101011010010001100000100101100101011000011110010010100000000000110010010000000000110000001010010000000000100010001011000000000000011100000000000011100000011000000010010000000001", + "INITVAL_31": "00001100100001000110000000001100001000010000110000000010100000010001000001011000000010011000000110000000110001101000110000010100010001000011000011011010010001000000010110100110101100001000011011011000000100001010101000010000000000001010001100001100000000010000000101100000011000010000110010001100010000000010100001101101", + "INITVAL_32": "00000010000000010000000100111010000010010000100010001011011000000101101011110011000010001110101100010000000010000011011000011000101000000100000100011000101100010000101100001000110000001111011000000000000010111000011000100001001100001010000100010110010011011110000100101000000001000000000000100000101100000000110000100001", + "INITVAL_33": "00011100101011000000000010100110000000010001111101100000001000001000011011011011000010001110110000010001100111101100000100001100011001101000000011000010001010010001000010100010110000010000111000000110000001010110001110010000010001001110100000010110111001000111000000001110000000010001010110000010011100000111000000111000", + "INITVAL_34": "00001000011001001101000010101100001000000001110001000001101000000001100001010000000101101010100101100000011010100000001000000100000011011010000110010000000010000000101000101100011000000010001011010110000110000100100001000000001100000011000000000100000011100000000010011100101000000000011011000110010000010001001000001011", + "INITVAL_35": "00011110100000111011000011011010011011110001111010001000000000000011010000101100000001011010000001110000000010000001010000001110001000110010000001010000011110000001110000100110100100010010000010001000000000011100100000000001000010000011100000000011010000100000000010101010100111010001000000101000100000001011001000010101", + "INITVAL_36": "00001111011001010000000101100100101100110000000101100111011000000011010010000101000101010010100010000001111101100101010000010110111000111101000110011000010101010001100001001000101000000111101011101000000011110100000101100001100001101110010100001001001001111001000100010100101000110001110010100100000100011010100010011000", + "INITVAL_37": "00000101011000110010000000110100001100100001101011000100010000010001100000000100000011001000000001000000100001001100110100010011010000010000000010100000101100000000011010000000011100000001100010000000000100111110011111100000000011000001011000000100001010100000000000010010101100110001101011001000011000000101001010011101", + "INITVAL_38": "00010010010011000000000000010000111010000000000100101011000000001100100010000000000100110000101101100000000010000100001000011000010010011011000010000100100001110000100001100101001100000000010000100101000000101000001101000000010011000100110000000101000001111011000001100010000110000000010011001011101100011101101010001011", + "INITVAL_39": "00000001001010001000000100111000110101100001101000100110101000000100000001010001000001010100011000010000101001001101011000011000100001111000000000100010110000000000010001000001100100000001000010001000000111010110101010000001000100000101101100000010000011010000000100101000000001000000000111100101010000000001000010000010", + "INITVAL_3A": "00001000001010100011000111010000001101010001101000001101000000011001011000000000000001010100000100000000100000000010101000010000000000110001000011010010110001100001100001100000001100000100110001011101000001100000001100100000110011001100110000010110111011111101000100100010001111110001110110101000001000001010011000010001", + "INITVAL_3B": "00010110110000110001000000000000010110000000100100000101000000001011010000110010000000100100000111110000101010000000011000000000100000110100000111000110100111000001000010001010000000010100010000110100000101101000100100010001111001000000010000001101000000101110000100000100110110010000010011000010110100000001001001000000", + "INITVAL_3C": "00010011000010001101000000011000101110100000001111001000010000000000100010110110000100000000010011000000101110101010100000011000100000100100000001011100011000110001010100000001010000000101110010101100000011100110010110100001101010000010110100010001011001110110000110110000100001010001010010100000101100001100011000010000", + "INITVAL_3D": "00001100010000000010000011000100001001010001010101000111001000011000100001001010000000001010010010000000001100000110010000000010010000010101000000110100001010110000100110100010100000010110100000001010000001100000100001010001010010101000110000001100010011010000000101100000100101100001100000000001010000011010001010100110", + "INITVAL_3E": "00001000100000000010000011110010010000100000001000000101001000011011010011110101000101101010011100010000110000100000000000010001010010000100000110000010110100000001100110001000100100001000000001100110000010001000100001010001111000100010000000001100001000101001000000000000000000000000000000000000000100010101111001011011", + "INITVAL_3F": "00001000000010100001000000000000011010000000101000100110011000011101010000010001000001010000001010110001100111100000001000001000010000110000000011010000100010000000110000100110010000000010110010100110000100000110001000000001000000000001001000000110000000100100000001001000000010000000010000100000010000000111000000010100", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9261 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9543 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.1.3.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00010000001000100001000100010010011010000000011001001000000000000000101010010000000000000000000000000000010001000010001100000000110000111010000110001010000001100000101100000100000000001101000010000000000001100000110001110001000011100010000100000100100000110011000110101010100010100000000110100010000000000001010001001010", + "INITVAL_01": "00010101011000011001000111111000000101000001010000000110000100001000000011000010000100000010100001010000000001100000101000000000110000100100000100010000100010000000001100100001000100000110101000001010000101101110010100100001011000001000001000010101010000010011000001001100000000110000010000000001010100010000000011000000", + "INITVAL_02": "00011010101011010010000001001010000100110001000101100111010000010100001010001001000101000110010001000000001010001110110000001111000000000110000011110000100011100001110011000011010000010001001001000001000100101010011101000000100000000000000000000000000000010110000110010100101100100000101111001100010000000110100010000000", + "INITVAL_03": "00010111010001100100000101011010010000010001110010000001001100000010000011000010000110001000101001010001110101100011001100011011000001001100000011101010010010000000011000100001010100010101011001001100000100101010101010000000011110001000001000001100010000011000000010110000100101000001011110101110010000010011010000010100", + "INITVAL_04": "00000000101010101011000101111110010000000000100110000100000000001011100000000001000000011110101100110000010011100011000000000001001000001001000100000000011000110001000101001010010000001000000001011000000110010100001000000001100000000110010000001110001000101010000010001000000011000001010010000000100000010001010011010101", + "INITVAL_05": "00000010011000110001000000101010011011010001000101001011000000000010000000001110000101110110001110100000110000001101001100000000101010101010000010010100000100100000010010100110010000011010000001011001000010110010010110010000000101000001100100001010000010011101000011000000101010010000000100001110100000000010101000101000", + "INITVAL_06": "00011011001011001011000110000010000100100001100110001100001100010011001010000001000000010110010011100001110010001010010000000100110001011010000010011000100100000001011110000110101000010011111001000011000001110010011110000000010001000101100100010011000001110011000001100000001000000000100010001100000000010100000001001011", + "INITVAL_07": "00010001101001000010000111100100110010100001011000101010010000001011010000010110000011011000010010000001010100000101100000000000010000010111000000001100010001010000100001001111011100000010110001111111000000010000010111100001110111001000010100010100100001001011000100101100110101100001011010100010000100000010100001000001", + "INITVAL_08": "00011110100000101100000011000110110110100000001011001010010100010101101010001010000010000100000101100000101000001010110100001101010001011010000100100000101100100001000010100110100000010010000011101100000010100000101011010001110110000100010000010000110010100010000001000010011011110000011110101001000000010110111010110101", + "INITVAL_09": "00000010110001000101000000111000111011110001101000100101011000010110101010110010000000101110011110110001101000000010101000000000111000111001000011000110001001000001000001001110011100000010100010100101000001010110101001010000100001101101000000000000100010010010000010111100100001010001110100001010100100001101001000111000", + "INITVAL_0A": "00011011000011101011000001000010011001000000110010000000000000000011111000000000000000100100000000000000010010101010110100010010011000101010000000111100101100000000110010100100011100010000001001011000000000000000000000000000010000000000010000001001000000000000000010110100010111110000000010000010111000010000001001111010", + "INITVAL_0B": "00010011101010100000000100011000111000000001100010001110011000000100111001110111000000110100101110010001100010000001111100001011011000100010000011011000010001010001001111000010010000000111100010011110000111000110110010000001010100000101101100000011000010110010000100010010000100100000010101000011000000000101011001000011", + "INITVAL_0C": "00000011100000001010000111000000011100000000001101000000001100010000011000010011000110000000011111000001101110100101011100001111010001001111000000001000100110010001000011001011000100001100000000001000000010000010100000110000100010001001100100010000111011100011000010010010000010100000100111100101100000001011100010110111", + "INITVAL_0D": "00000110001000000000000011111000110100010001110100001010000000001111110000001101000000111100000000000001010000001100010100011000011001000111000101001000001111010001010100001011000000010100001000101101000010000110010000100001101010000101010000000101101001000011000010001010110110010001000100000110111000011010101011110110", + "INITVAL_0E": "00000000000011000011000100111000110000010001100101000010110000000100000000001000000110011100000100000000100010100000011000000011100000001110000011001010110001110001110000001111010000000001100010000000000111101100001001110000011111101011000100010010101010111111000111000110111111000000100010100010001000000101111001101100", + "INITVAL_0F": "00010101001000010001000111001100000000100001000101000000101000000100000010100001000001000000100010010000000010100110001100011010001000001000000010010010001110100001101001001011100100000111111001101101000001100100001000000001000101000000000000000100000000101000000010000000110000010001000011001000110100000100100000010100", + "INITVAL_10": "00000001101010111011000010000000110100100001000101000011001100010001011010000011000000001010001100010001011010101001010100000011110001100100000010010100010110010000100000101000011100000001100001000011000010101010101000100000000110001100100000010101100000010000000000001010000101010000001011100000100100000010000010010000", + "INITVAL_11": "00001010001010101000000111001000001001010000000010000110010000010110010010001010000000000110010011000000010000001110001100000111000000011001000000001110110010010000001001000000001100010000111010100000000001100000011101000000000011000000000100000000000001100000000000001010010000100000110111101111010000000110111001110101", + "INITVAL_12": "00010010101010001000000001010000101101100000000110100000101100000001011010000010000000100000100000000000010000100100000000010001000010010010000000000100110000110000001011000001000000010000100010001010000100001100100001000001001000001111000000011001101001001100000111110000010000100000110000101110111000001011101010101000", + "INITVAL_13": "00000010000010000000000000000110110111000000000000101000000100000111101011111111000110100100111110010000010001101100011000010000001010001100000000110110000100110000001000000000000100011000101010010000000001011110000010100000001000001011111100010011110001011000000010010000111100110001100101100011011100001000110010000101", + "INITVAL_14": "00010000100010100001000010110100010000110001010001001110001100011101101000011000000101000100100011000001010000101010101000001110101001101001000010001010010101110001011010101101111100010111100000111101000110111000001000010001011011100111100000000011001010101110000001011110101011010000010011100011000000010010110001000101", + "INITVAL_15": "00010110110011000110000110110110011000010001010000000100111000000000100000101111000011111110110011010000100011001010110100001101101010111110000111110110111000110001010101101011111000001100110011111110000001001100101011010001011011000011010100011000110011110111000111111100101001010001110101100101100000001000010001010000", + "INITVAL_16": "00000010100000000010000101101010101011010001000001000001101000000100101001111111000000111110111101110001110111100101110000001101001010100001000111101100001111110001111101101101110100001111011001110010000110110110011011100000110110100000111100010001010011011010000011110000011111110001111111100011111100011111111010001101", + "INITVAL_17": "00000000001000000001000000100000110001000000100000000100010000000010001000010000000000100000000001000000000010000000010100000101110000110101000111001010111111010001110010101000001100011101110011011111000011110010110010000000001010101111100000010001001001011110000110000000111000000000111000100000011100011010011010000101", + "INITVAL_18": "00001110100010110110000101000110100001000001110101100110101000001111110011011110000111011110110101100000000000000100010000000100000011001000000100010010000100010000000001100000000000001000000001000000000100100010000100100000010001000000011000000000001010000000000100000010001000100000010010000100010000000001100000000011", + "INITVAL_19": "00000011000001110111000001010000110111010001000011001111110000010000000010001110000000100010111100010001111111000000011100011110010011001011000011011100111111110000100101100101110000001111111001110110000101000000100000000000111111100011100000000001101001001101000011001100100010000000010011100101101100001010000011000010", + "INITVAL_1A": "00010011011001101000000011111000001001010000000001000000000000000000011011110010000010101000100011110001100011100000111000001010110000111111000110110100110001000001001111001100100000001111101010001011000100011110101111100001100101000001011000001111101010010000000101101000110001100000001000000011110100011000000000011000", + "INITVAL_1B": "00010110110000010100000011001100110110000000110000101001011000000001001000000000000001010110101110110000011011000110100100010011000010111111000000100110111011110001110000001100000000010000011010000110000100111000010011100000010111101101111000001101000011110111000011101000001101100000110000000000110100010111010000111001", + "INITVAL_1C": "00000010000011110101000101001000111010010000100001001101000000010100011010001101000110001010011000010001010100000100010100000111101000101000000010110110000000100001011011000000000100000010001000111010000001100010001001010001010000101010111000001000000000101000000000000100000110000000010000101000000000001011001000011010", + "INITVAL_1D": "00001001011001111011000000110000111011000001100100100100100000001101011010010000000101101000000000010000110100000101100000010010000010100011000101010000101010110000110000101010100000001000100000101101000001011100011000100000010100000100010000010111101001101011000011110100001001000001000010100010010100001001001001111111", + "INITVAL_1E": "00011010100000101101000100011000011000010000100111000100010000000101101001010001000010000110110101000001000010101011000100010001111000110011000010101000101101010001011110100010111000011010001010010000000101101100001000010000100011100010101000011011000001101010000000100010000010110000100101101001100000010001010000010001", + "INITVAL_1F": "00011001100000111011000011011110011000110000001000001011010100010101001000001000000100100100000100000001011110000011101100000001111001100011000110111100100001010001011111100001110000000001010000000001000010011000000000110001101100001010101100010011111001110100000001011000011010100000001011100010111000011101000001011000", + "INITVAL_20": "00001000010011110111000001011010100011010000100101000100001000011110111000101101000100001010010010100000100001001111001000010110100000101111000001010010010000100001111001001011010000000101100011001010000010000100110001100001011010000010110000011001010000000011000100100000101100110000110110100100000000000100010000010110", + "INITVAL_21": "00000010011011000011000110100000111101110001000100000011000100000010011011010000000010101100101101100000100010100100111000001000010011011001000000101010101110010000000101100101001000001010100010000101000101101110100000000000110101000001000000010110111010111101000110010000010111100001110010101011000100010101011001101010", + "INITVAL_22": "00000100110000000001000001001000011110100000000001100001101100000110010000100101000100111110000100100000011110101001111000010110000001000101000000011000001101010001010011000011010100011101110010000000000111010000100101000001100110000111000000001110101011101110000100001000110001110000101101001011000000000000101011110111", + "INITVAL_23": "00001101000000111100000100100100001101000000100100001111100000001000100010101010000100001100111011100001010001101101101000000110100000010000000110000100111101100000111101000000010000000000110001000111000110101110111100000000001011100100000000001110001000100000000100011010000001010000010001100011110000010100000010110111", + "INITVAL_24": "00011001111001111111000000111110110100100000001011001001000000000101100000011100000110101010001000110001001110000000111000010111000011010000000101101000011100000000011100101100110000000111111010010001000111010000000001110000010000001010110000010001111001000000000001010000011101100000101001001100111000011110111000100001", + "INITVAL_25": "00001011001011100100000001011010011000000000000000000000101100000000011010011000000101110000100001010000110101100000000000000000000001011010000001000100000000010001100110100010000100001000000011100110000101000000111000010000000101100111000000000000000000000010000110000000111001110001000010101110100000001011000000011011", + "INITVAL_26": "00011001000010111101000000010110011011110001011000001000001100000010010000000011000011100000111010010001110010101000100100001000011001100001000000001000000110000001100010001010001000010111100011100110000001011000000011010000000011001011000100000101000011101110000010110110101000100001110111100100010100011111110010001100", + "INITVAL_27": "00001010111001100000000110010000010001000001001100000010101100001001110010001101000011000010101011100000101101001000111000001010110010001001000000010110001010100000111010001110010000000101101001000111000011110010111000100001001001101011100100000001100010011111000110001100100001010001001110000110010100000110110010011100", + "INITVAL_28": "00001010101001101100000100001000011110100001100000101101000000001110100000001101000000011100100000100001010100000111100000000010101010000101000000001000110100010001010000000100000100000110100000110101000100010000000010100000010000001010101000000111010011001101000010100000101010100000111101000001010100000100111010100100", + "INITVAL_29": "00010001010000011011000101001000101010000000100000000011100000001000011010100111000101011100001011110001110000001010110100011011010010000100000011100000010100000001110001000101010000001010000011011100000001001010010000100000000000101100001000000011101000100101000011100010011100010001010001101001001100000000010000101101", + "INITVAL_2A": "00000101001011001000000100111100001111000001000010000010110100000111100001110010000000011100000110100000100001000000110000000000001000101001000000100100100111010000100011001010000100011101010010000111000100110000010100010000010101100010000000010000100010001010000110001100111000000000000111000000101000000011100011000010", + "INITVAL_2B": "00011100011001110010000000101100100101110001011100100000101100000011111010100110000100100110101110010000000100000101110000010000010011000001000010000100100100000001011011000011000100000001011001110011000000111100110101110001011000101100111100001111111000010000000101101110001100010001000111000101100000011011100011001001", + "INITVAL_2C": "00011000010011011100000110001000000110000000001110101001010000010100010001101110000011000010100000010000000010100011000100001110010001110100000000111010000001110000100000001101011000000000001001000101000110010010110000100000000001101100011100001010000010001101000000011100000001110000100001000010100000001100100000101101", + "INITVAL_2D": "00011010001001110000000011110000000100000001011010100000010100000001011001010000000100100000101101010000000010100001101000000100101000111011000100000110010100010000010101001000000000001010101000110000000011111100100000000001000011100001001000000000101001000001000000100110000010000001011001000101001100010111011000110000", + "INITVAL_2E": "00011111111001100110000101011000000001000000011110100100100000001011001010100000000000010110010000110000010000000000000000000100001000100001000111010100010000100001101010000001111000000010100000010100000001111010010010000001111000001110000100000111010001010000000001100110111011100000100101001110111100000111000001100001", + "INITVAL_2F": "00001000000000001010000110101110101001110001101000001000000000010001010001000000000000100000000001010001010000001010000000010001000000000110000100000110001010000000110000100010001000011001000001111011000010110000110001100000011000100000101100000110100000000001000011010000011000100000000111100110001000001110111000010001", + "INITVAL_30": "00011000101000000101000000010110011000100001000001000110011100010010010010101001000100100010000001000001101010001100100000010000010001000010000101000100010001110001111000100000000000000000100011000000000010000110000000010000100000000010110100001100000000000001000011010000010000000000010101100000010000000000000001011000", + "INITVAL_31": "00000101101011111001000110001010100110000001100010101011111100001101000011110011000000100000010011010000011000100001010100010101011000101010000110001010110010010001011111001010101100000100100010000101000100000100100010000000011010101101000000001101000001011110000000010100100001010001010101100010101000001100010000010110", + "INITVAL_32": "00000001111010100101000101110100001101100000100000101011010000010110111001000110000100010000001011100001001011001100100000010010101011101000000001101100100101100001100100001101100100000010110001100100000101101000110010010000110001001101010000001110011001100111000101010110010100010001010100000101101000011101100011000100", + "INITVAL_33": "00000001100001111100000010100000100000000000000000100110001000011010010011110011000101001010001000010000011010000111001100000111001011001000000001010100100100110001011001001001000000010110111010110011000100000000010000100000001011000001000000000100001001101100000100010000011111110000001011000010110100011010001010100010", + "INITVAL_34": "00001110111011101001000011110010110010100000101111001000100100010010101000001011000111111100010010000001111010101110010100000111010001000100000110011010010101000000010111001011100100001000110010011011000100110110001000110000010011000110101000011110010001010110000110010110000011000000100001001111101000010000100000101111", + "INITVAL_35": "00010000101010110001000010010000010110100001101010001000010100010100101001001000000010110100000101000001000010101011000100001001000001011011000100101000100001010001000010101110000100001110101000010000000110000000000000000000000010000010111100000100111011001001000100110100010011000001001010101010100000001011110001110010", + "INITVAL_36": "00011000100001010001000110011000111001110000010000001010100000011010001001001000000010110000101101010001001011000010000100010100010010100000000000010000010110010000101111001000010100011101001011101000000000000100000101110001001010101110100100011100100001000010000000101100001011010000000100100000101100000010110000000100", + "INITVAL_37": "00001100001011011000000111100000000000000001010000000000010100010011110000010110000100001010101010000000101101100001101100010100111000100001000011000100110101100000101100001000000000000101110011001111000111110100000101110000110011101111110100000001011011111110000100101000101100110001100100101111010100001011100011010110", + "INITVAL_38": "00010100001001101011000010010000010001100001100010101111101000011100010001100000000100000000011101110001111101101001010000010111101011000100000100011010001000010001000101100100001000011000010000110000000001100000111011110001111100001001000000010110110010110011000011011100010000100001100001000011000000000111100011101100", + "INITVAL_39": "00011011000011000110000001011110110101110000001001100000010000000000000000110010000010111110100100100000010101100001101000001101110001010001000011111000111010010001001010000010110100010010110001110111000000110000100001100001000100100000101100001011011000100100000100001010101101010001000100000100001000011010010011000101", + "INITVAL_3A": "00010010101000001011000101110000110101010001001011100000101100010111000011010101000100101000000010110001011100001101010100010010110000001011000101110000110101010001001010001101100000001011101010101111000100011010000000100001101110000001000100010000000011011100000010010100001000100001100011101011000000011101100001100001", + "INITVAL_3B": "00001000010011001111000001001110101000000000000000000101100000010111011000101101000101100000010011110000100001001111101100010100101000101001000000010110111011100000101010001010010000000000001001100101000110000100111011100000011010100110010100010111000000101110000111000110010101100000101101100000001100010111000011010101", + "INITVAL_3C": "00010100001001111001000000000100000101100001000100001011000100000000000000000101000101000000110110010000011110100000101100011001001011110010000011001000000100110000010010100100001000000010111001011101000011001100010111110001100101100101100000010000101010110101000010011110101101000001101111100110100100011101101010101010", + "INITVAL_3D": "00010100010010000110000010110010000010110001110011101001000000010111101001100101000111101010011000100000001011000010110100000111100000001101000010000100110001010001010011101000000100010101000001011000000100111100000001000000010000100111111100001001010000010111000110101000101000010000111110000100101000000010111011100100", + "INITVAL_3E": "00000100111010110110000000000100010111010000000000001111101000000010001010110100000001100110011010000000110010101101000100000100011010100100000001011010110100010000110110101010100000011010101011010111000010110000010001000001011001001000010100010110101000001011000011101000010100000001111011001010000100001101000001011011", + "INITVAL_3F": "00011100100000100001000011011010111111100000110001001100001100011001011000101011000101011100011100000001000100001110100000011110010001111001000000001000111100100000001111000100010000000010010000011000000101100010001100100001010100001000111000001010111001011100000010101010000100010001101001101110011000011111110000111110", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9312 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9542 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.2.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00000000000000000000000000000000000000100001110100101011010100010111000001101110000010110110100100100001100011001010110100010101101010110100000010000010010000100000011000100001111000001001100001101010000011000100001100000000110011000000110100000000100000000000000000000000011110000000001100001100111100000001101000000100", + "INITVAL_01": "00000101001010000000000000000010100000010001000000000000000100010000010000101001000111010000000011010001000010000001000100010001010010010000000001100000001101000000000010100001110100000001101000001000000001000000110000100000000100000010011000000101001010000000000110000010000000000000000000100000000000001100010011100010", + "INITVAL_02": "00001110000000110100000111111000010000000000100001001101010000001100000011011100000110100100010000100000111000001100011000011010110011010101000100011000101100110001100000101001101000010011011001011011000000011100110110110001011011001110010000010100110011010110000001001110011010100001000011001101010000000010000001000110", + "INITVAL_03": "00010010000000000100000000100000000000010000000010001000000100000000100000000000000000001100010010010001010001000000000000001100000000010100000000110110001001010000100011000000000000010000011000000101000100100010101000000000010011000110000000001011000000100011000001010010000001010000110000000101001000001011001000100101", + "INITVAL_04": "00011000000000010100000101000110000000000001101110001000001000010100000000100000000000100010110010010000010100100000010000000010010001010011000001001000100000000001100100001100111100001010111000001001000000001000000000010001000000000001010000001001011000111110000100000100010100110001100001000110000000000110011011001100", + "INITVAL_05": "00001000001000000100000100000010001001000001000001000101111100000111000001110000000000000010000001000000000010000001010000000001001001101000000001110110000010010000010010101100011000000000101011100101000111110100110011100000100100000001100000011100010001111000000110010110000101000000001001100010000000000100111000101000", + "INITVAL_06": "00000001101000000000000011001100101100000000001000000000110000001010001000010101000101000100100110000000010001000000101000010101001010001010000010111010001110000000011111101100110000001100000010001101000000000000100000110000001101101001001100011000100010100010000000010000100000000000000011000111000100010001110001110001", + "INITVAL_07": "00011010010011101010000111001000111101100000001010101011001100011001011010001000000101000000011000100001010000100100000000000000110011100100000111100110100100100001000001001011100100010100111000011001000001010000110000100000001000000011010000000001001000000000000100001100100000010000110011100010101000010100110001101000", + "INITVAL_08": "00000001000000000100000110000000000100110000010001001001001000011110010000001111000000111010000011100000100010000111110100010110001010110110000110010110011101100000100100000001000000001100011001100110000100000000010111000001101010101100111000011010101000111010000000101010011111110000110011100011011100001010100010011101", + "INITVAL_09": "00011001110001101010000010000000011011000000000100100110010100001101101001111010000010101010001011100000000000101100000000010001101000100100000110110110000000000001100011101100010000000000010001100110000001000000100000000000000100100000010000001101110011010010000000000010001000100001000110000100000000000100110000100010", + "INITVAL_0A": "00000000000000000000000000000000001010100000000000001010100000000001010010100000000000010100000010000000001001001010101100000000000000101010000100000100000000000001001010001100000000010110000001000000000000001100010100000000100010100100000000010000010001111110000000011000100001110001001001100010110000000001000001111011", + "INITVAL_0B": "00000000000000000000000000000000000100000000000000000000000000010000010001001000000001000000100000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000000001000000001000100100000100000000100000000000000000000000000100000000000000000000000000010000000000000001", + "INITVAL_0C": "00000010010000110000000011010000100100110000000010000111001100010111110001111100000000110100110100100000010000000011010000000100100000110000000000000000100010010000000100000000000000000001010010000001000001010100110000000000010001001000000000000101010010000000000001010100100000000000010101001000000000000000000000000100", + "INITVAL_0D": "00000000010000010001000001100000001000100001110010000110100000000001000000000110000010001000110100110001010011000000010000010011001001111001000111000110001010010000110110100000111000001100101010101001000100000010000111000001001111001001100000000010000001000010000110000000000001000000000001000100000000010000110011001010", + "INITVAL_0E": "00000000001000000000000000001000001010000000000000000001111100001110000000100000000111000110100101000000000100001110001000011100000001101110000010111110011101000000111100000111000100011110010000101010000001110000001100000000101010001101010000001101000000001000000000000110001101000001100010001001100000000010000010110011", + "INITVAL_0F": "00011100111011010000000010010100111010110001010000000011001000010000001011100010000111000000110001110001001000001001000000000100000011000000000010000000000000100000001100000000000000000000011001000000000000000000101000000000000000000000110000000000000000000000000000000000000000000000110000000000001000000000000000000000", + "INITVAL_10": "00001011100000000111000001100000110101100001101000000110100100010001011000101101000101110100010000010000100010100011000000000110101000100001000110110000010011010001110101100110000000010000010000100011000011000100000110010000010001100001110000011000100000110010000000000110110110110000100001001100101000010111001011111001", + "INITVAL_11": "00010100000001010101000000010000001000100000000000000001000000000100010001010000000101000010010000000000110000000100011000000111011000000010000011010000110101110001101100000100011100000011010001000000000000000000011111000000010111000000010000001001001010000000000110000000011000000001001010001101011000000110000000011100", + "INITVAL_12": "00011111100001100110000010000110011000010000000101001001011000000010110000011010000001010010011000000000100001001101100100010000010000110010000010110110101000000001100010000110000100000000010001010100000100010100110010000000010010001110000000011001000001101110000001010000001100100001100100001011001000000000100011000001", + "INITVAL_13": "00001001100000010011000000110010110010110000111001001100110100000010110011101011000101101010010011010001101010100110101000010011001000000001000001100100100101110000001000100001000000000000011000110100000010001100000110000000111001000111011000000111010001000000000101000000100000110000001100100100011100011011001000001110", + "INITVAL_14": "00010000101011001000000100001000100100000000100100100000110000001100001010100101000100000010001000000000011000000000011000000001001010011000000111001010110111000000101001100001110000010001100010101110000010001010000111000001101101000001101000001101011001010010000000100000101001100001000000001011001000010011101010010010", + "INITVAL_15": "00010100000001111000000011001000100100010001100101000100010000001100101010010100000000001110001011010000101000100110111000010111011001010100000110111000000101010000101101001010011000000010011000010000000000100110001010000000101001001001000000000001000010001100000110000000001100100000000010000110000100000110010010010010", + "INITVAL_16": "00000010100000010000000001000000100110010000001000001001101000000001001011000011000101001010110101010000000000000100100100001100111010110001000010010000010101000001000100000000100100000011011001000010000000101000011111110000100100100100011000001100011000010100000101011000100000000001110100000000100000001100001001001101", + "INITVAL_17": "00010000001000110101000100000100110011010001001000000100100100000011100001000010000101100000000010000000100001000000100000000100100000010000000100100000000001000000011010001000000100010100010010010000000001000010010100100000101010100000001100010111111000110010000001000010010110000001011000100101000100000100001000101000", + "INITVAL_18": "00010010000010000110000010000000000110000000000001101000001100000000110011111001000001111000100000000001000100000100000000010000000001100011000010001110000110010001110000001101000100000000110011001001000111011000110010010000000100101001000100000100101001111011000000001000000001010001110000000000001000001010100001011001", + "INITVAL_19": "00000100011000000100000110010000011011000000001000000011000000011001100010110000000100110000000010010000001101100100010000011000000000010001000010101010100100000001000011101011000000000000011001000000000001001000100000100000000000100000010100000010001000000000000100011000000000010000000110101101010000001000110011001011", + "INITVAL_1A": "00011000011000101011000001010000011000010000000001101011001000000011000011100100000110000110011010010001101010000101010000011000000010001110000000001000011010010000001001000100011000010001001001000010000100100100010110000001001011000110001100010011101011010001000100100100001101000000100100000000110000010000000000011000", + "INITVAL_1B": "00000011010000011000000000000010101000010001000000000111100000011000000001100000000000001100100001100000000000101110001100000000001010000000000000000000000000000000110011001010011000000000100000000011000010000010100000010001111010101000001000000000000000000000000010000000000001000000001100000000011100000110001000011111", + "INITVAL_1C": "00000110011010001010000011000000000101100001100010001000111000000010001000100001000110110010000000100000110010100010100000010001010011010010000100010000000101010001000001000110000000011000001000110001000001011100000001000001100000101000001000001100010001011100000011111110010100100000000010000110011000010000111000001100", + "INITVAL_1D": "00001000001010100000000001000110100000000001000011000011001100000000001001011100000010001110000010110001101110101101000000001100010011001000000001100000000000010000011001100011001000000011011000000010000010100010001101100001100100100010000000000000000000000000000000000000000000000000111011000100001100000110000011001100", + "INITVAL_1E": "00001001000010000101000000001100011010000001110010000111101100000010100001101010000000000010001100000000100101100100110000000010010011010011000000001000101100110000001110001010001100010000111001101001000100010000110110100000110001001100100100000010110011011011000010001000010100010000111010000010100000010111010000010111", + "INITVAL_1F": "00000011000011101000000110000110011001100000101100001111000000011100101010100001000110010100101110100000001001001100011000001010010001111100000011010010001010010001000110100101000000011000011000100011000110101000001100000000000000000010000100011001001010111010000000001000000010100001101110101011001100011001001010010011", + "INITVAL_20": "00001011011011101100000010110010111001010000100100001101111000001111001000011111000100100100110100100000011100101100101000011011000001110011000101001100000111000001010000100100001100000001000001100000000100110000101100000001010000000101101000001100100010000100000101000110111001100000000000000000000100010000000000000001", + "INITVAL_21": "00010000011001001010000101101000101110000001010110001001100100000000000011110000000100011100000110000001110100001001001100011001110010010011000010010100001111000000110100000001000000001000111001001100000100110000110001010001000111000110010000010001110001001110000110010100000110100000000011001100110100010000010000110010", + "INITVAL_22": "00000000110010000011000010010000010100100000001000000000110000000011000010000110000010110010000001000001000001100100111000001000010001100000000001000100011110010000010110001001100100000000000010000011000000010010000001000000001000000001000000000000001010100110000111100010000111110000101010000000001000010000000000100011", + "INITVAL_23": "00000010110001010010000110000110100010010000110001000101100000010010100011000001000101100110001011010001001100101000101100001100001011000011000101100000111100110000101001000100100000000011110010101010000001111100101001010001010110101000101100001001100011101001000011000110010101100000100000000001000100010000110010000101", + "INITVAL_24": "00011101100001111000000110000110110000000001111011000011010000010100000000000000000011000000011010100000010011000100000100001000010000000001000100100000111000010001100000000101000000000000001000000010000000011000101110000000011000101010010100011011010000110100000011011110100010110000010000001001001000011000100010000100", + "INITVAL_25": "00010011010000010010000110011100100110100000001011001100111000010011010000010110000111011000101001100000010010101000100100001100010001000000000100000010001001010000001011000100101000000110100010000001000001001010000101100000100101000011110000000100110010001100000101011010100000110000100110000110001100001000111000010001", + "INITVAL_26": "00011001011000100001000000000010000111100000110000101001100100000111000010111101000110110110001010000000110000000011000100001101111000010110000010001000100101000000110101000000110000000010011001000011000010110110001100110000100001101101101100000110011000011010000110100000110101100000111010001101000000011110011000000010", + "INITVAL_27": "00001101110000000100000011010010000101100001101100000111001100000100110010001011000001101100100001100001000010000000000000001001001010110011000011100110001000010001000010100000000000010011001000100110000001110100011001000001110111001101001100010111011001001110000111011010001110110001000101001000001000000100110000000011", + "INITVAL_28": "00000000000010000011000111000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010000001000000000011000000001100000110100010000001011000010010000010010001000110100", + "INITVAL_29": "00010001100010010110000101000110000000010000100000000100011000001001011001010001000100001000110100010001001001001101110000001100001000101010000110001100010010110000001000101000110000010110001010010010000110011000011000000000011110000110010000010110111000011000000110011010000110010000010110100100011000000010010001000010", + "INITVAL_2A": "00000110000010010010000001100100010110010001000110000110010000010001100010010110000001000110000110010000010001100010010100010101000011000010000010010000110010010000110111000011000100010010001001100011000001001010100010000001100000000101100000011001001001100110000001100000000101100000011001000101101100010001100000000101", + "INITVAL_2B": "00000100101010011000000110000000010110000001100100100110001000000110000000010110000001100100010110100001000111001101010000010001100010010110000111000110000000010000101111000011001000001011000010001100000000001010100011000001001011000110001100000000001001100011000001001010101110000001100000000101100000011001001001101010", + "INITVAL_2C": "00011001001001100111000111000000001001000000110010001011010100011110110011000000000010010000110010010000110111101110110100010000000010001011000000110010001011000000111110001000000000010010001010010010000110011110110110000000000100100001100100000101101001110100000000001000100011000001001011001010001100000000001001100011", + "INITVAL_2D": "00011010001001111011000000011010001001000000010010000001011000000110000000010010000001100100110010000001101101000011010000001100011000110000000110010010110011100001100101000010100000001110010000101000000000101000011010100000011001000101100000011101100010110100000100100100001100100000101100001111101000000100010001101000", + "INITVAL_2E": "00011001100000100111000100101100111111100001000111000010011000001101100010010100000100101100101100110000101000001001111000001011000010001100000001001000100001000001111001001101110000001100000000110100000001001110100101100001110001100000000100011001001000000101000101000100001001100001011001100101000100010010110001000110", + "INITVAL_2F": "00001010000011000010000100000010111010000000101000100110000100000100101011101101000010000110010110100000011110100010110000010100010010000111000100000000000110100000010100100110001100010000001001101110000001110110011010100000101100000100111100000101100011110100000000001000100001000001111001001100111100011010001011000100", + "INITVAL_30": "00010001100011000100000000000110001010110000011011000110011000000100101010000000000100011010010001000000111100100011000100000011010011101111000101101100110111110000110011101101100000001001011010101000000011101100000000100001000000101001100000000111001000001100000100001000100100110000100010000110100000000001101001110010", + "INITVAL_31": "00000111110011110111000110000110011000000001000011000101111000000110010001100100000110101010000001000000000001001111000100010010010011001010000111000010011000110000001100100001010000000110000010110101000000011110001111000000010100001100101100001000010010010001000000001010101010000001000111100011011000010010100001000110", + "INITVAL_32": "00011111101000010010000110100110000011100000011001001100101100001101011010001101000101111000011001010001011000100110110000010001000000010001000100100110000110000001111111000101000000010100011000101111000010000110100011000001011110000010100000011001001001101100000101101100000001000000110010100010010100010111010000110010", + "INITVAL_33": "00010000010000010110000001010110101101010000110101000111111000010011011011111110000101101010001001000000010111000001000000000100011000101101000011000000101100010000000010000010001000011101010011010101000100111110101001110001101101000011000100011111111000010111000000010000000101110000010100001100100100001000100000011001", + "INITVAL_34": "00010010000001000110000001100100110110000000101000001001000000000000000011110101000010000110011101100001111011101110100000011101111000001000000111100010001110000000010100000100000100001100000010000110000010001000110000010000100011100001110000011100100010100000000001001000100011100000000000001010001100000101001001101000", + "INITVAL_35": "00000011010000000000000001110010010000000000110001001100111100010000110000011011000110110000001000110001000101001101010000001001010001100010000110001100010010010000000011100000001100001111001000011100000011111000010100000001110001100000000100010011111010010111000001010110111100000000101111000001101100000100011001100001", + "INITVAL_36": "00001001001000001111000101001110111011000000111010001110100000001000011010011011000111100110011010010000100100100110110000010101111000101111000010110000100100010001001001000010100000001100000001010101000001111000111111110001111110001011011000000000100001000101000100011110100001100001101100000111011100010110000001010011", + "INITVAL_37": "00001001010010011010000111100100110110000000001001101101101000001000010000100001000000001000011000100000100100101001000000000001000000110110000011010010100000100000000011000000010000001100110010100010000100110000100101000001110000001010110100000010110010011011000000001000000111000001001000001000101000000101010000010000", + "INITVAL_38": "00010010000000111010000000000000101000000000000101100000000000001000011011100010000011100010111111100000011111101011010000000110110000000110000110000000000000000001001011000011111000001000111000010011000111000100111101000000111111100000000000000010101011010001000000100000001001110000000001000100100100000100100000001010", + "INITVAL_39": "00000010011000000111000001101000110100010000111100100011110000010100110000110100000100010110101000000000110000000000101000010000000001100011000111100110110110000001110101100001110100011110110010111011000001010010110000000001000011100110101000011111111010111101000110010000010010000000000000101000000000000011010000000011", + "INITVAL_3A": "00000101001000001100000100000110011110010000000111000011001000001011010011100011000011110010111110000000100100100110011100010000100001100100000101100010110000100000010000001000000000010010001010001001000111100110110111100000100110100100001000000000110011110110000100110100000000100000010000000000010000000000000011010000", + "INITVAL_3B": "00000011000000100100000000010000110010110000000000001000000000000000101000000000000000111100000100010000000000001011111100000100000011011100000000000100010000110001100100000101000100010010010000001000000000101000100001110001001000001010110000000110111010010000000001000110001011000000000101000011001000011000010010010000", + "INITVAL_3C": "00001011110000011011000000001000011010110001110010000101101000001100011011111111000101000100001011010000011000100010001100000101101000101001000010001000011001000000101001001001000100001001100001101111000000001000011010100000001100001000110100011001101010110111000010100010110100110000110011000001000000000001001001000000", + "INITVAL_3D": "00001011010001100011000111010100111001110001000110001001101000000000111000100010000011011010110101100000101000100110100100010101111000001101000100000100001001010001001001001000101100001001101001111000000011011000000100010001010011000001010000001011010001101011000110000110011000000001000101101010000000010100010011010011", + "INITVAL_3E": "00001110011011111000000001110010001111100001001100101011000100001010000010011110000011110000010010110000011001101101000100000101101000110001000001011110111101000000101101000110001100011101111011111010000001011010001100010001011111101111110100000010110010011000000100101110111110100000010110100011000100000101111011110100", + "INITVAL_3F": "00000000000000000000000000010000000000110000000000101100000000000010000000000000000000001010000000010001000000000011000000000001100000000000000000000010011110100000010110100011010100011100001010110000000010000100000111000001111011100101001000011110101000100101000101011100000010000001100100100110000000000001110000001010", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9570 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9866 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.2.1.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00000000000000000000000000000000000000000000000010000000000100000000000001000000000000100000000000000000000000000000000000000000000000000000000000001000000000010000000000000100000000000010000000000000000000000000000000000000000000000000000000000000100000000001000000000000010000000000001000000000000000000000000000000000", + "INITVAL_01": "00000110101010100101000010000000000110100001110000000101000000000011010011000100000010111000001000010000000001001000000000000000000000000000000000000000000000000000000010000000000100000000000001000000000000100000000000000000000000000000000000000000000000000000000000001000000000010000000000000100000000000010000000000000", + "INITVAL_02": "00011000101010000011000001010000001100100000000110000001101000001100000010110000000011001100100001010000100010001100110000011001101011000100000000000010100110110000101001001101111000010010101011010011000011000110011010100000101000100110001000001111001001000000000100011000101000010000100000101110011100000110101011010010", + "INITVAL_03": "00010101110011011010000010110010000111100001010101000010001000001101110000111011000110001010000001000001100010001000010100010100110000000100000011000100010010000000000100100000111000000100100001111000000110101000001011100001000010000100110000000000011000001001000100010000100011000000100001101100110000010010110010000000", + "INITVAL_04": "00001110000000000100000100001100011111010000100010000001000000001101101000000000000001100010001000100000000011000001011000000001000011000100000001000010001100100001000010000010001000010011010000000010000010001010000011100000000000100000100000010111000011111010000111010110101011010000100100000101110100010110100000010011", + "INITVAL_05": "00000101100000100000000010001010000110110001000111001011111100010110001001010000000100000000000001000001000001100100010000001101100001101010000100100010000100000001110100101100011100010000011000100010000000001110000110100001100111001110000000011100100010110000000010001100111010110000011011101111010100001101010001100011", + "INITVAL_06": "00000101101000110001000110000110100010110000011001000001100100010001101001000110000110001100001110000001110001000110001100001000000001100100000011110100011000110000101100100000111100010101100000100001000010000100011011100001011100000011000000000010000010100000000101001000001110000000001110001000010000000001000001110100", + "INITVAL_07": "00001010011000000011000011100100101000110000100110000000110100000000111010000000000011000110010000000001001000100000010100000110000000110100000000110000110100110000010011100000100100001001101001001000000000110010100000000000001001101011000000010110001001011100000101010000110100100001000010001100000100001001101000100011", + "INITVAL_08": "00010001010000000000000000010010110001010001000001001000000000011100001001000001000010001010100000110001100101000000010000000100000011100101000000011000100101100000110000000001001100010110110000001011000100101010001101000001001001100000001000010111100000000001000001100100011010000001100010100111100100001100010011001011", + "INITVAL_09": "00001100001010001001000000000000101110000000111000000110111000000000100001100101000000000000000011010001100101001001101000001000100000010011000000111100000110100000100011100000000100010000000001101001000010100000100010000001001001100010101000011010101010101110000001001000101010000001101100100010010000000000011000101000", + "INITVAL_0A": "00000001111011001101000010011000100100100000110011001011110000001100100000100100000101001100010000010000110001100010001000000101000000111100000100111100000010100001000101000101000000001101011000000011000101001010100010000001010110100001011100000011001000001001000001001000111010100000001001000100101000001000100010100000", + "INITVAL_0B": "00001100000000110100000101100010010011100000101111000101111000001011110010100000000100010100000001010001111110100000011000000000111011011011000111000000000010110001111111000000000100010110001010000010000111100100000101110001000100001011110000010000000010011111000111100100101110100000110001001001101000011101110010011110", + "INITVAL_0C": "00010000010000110000000000100110100000000000000000101011001000000011100011110101000101000000001001110000100110000000100000000100000010001010000000110000011100000001000001000000110000000101011010101000000001000100100110000000011011000100000000001101101000001100000111100000100000010001000000101001110100011100000001011000", + "INITVAL_0D": "00001001011000001111000000110010000010000001110011101000000100001001101001101000000000101000111101100001011110101010110000000101011001000000000111100100100000010001010110101001010000010110010001011011000111001110110001100000101100101111001100010111101001100100000011001010000100010001000100000001001100000100011000100000", + "INITVAL_0E": "00000011110010001001000101010000001100100001100100001101111100000011001001010100000101011010000000110001001100000101001100001111011000000011000010011010000110010000000001100010100000000100100000000011000101000110001010000001010000101110111100000100110011000110000010010010011111000000100100001010000100011100110011000110", + "INITVAL_0F": "00000000100000111100000110000110100001000000011110101100001100010010100000111101000110000110000101100000011010000110000000010000000010001101000001101100101110000000010011101110110000010100100011000101000000011100000000110001001001001111101000011000101010010000000001010010100010000000001110100001100100001101010000100100", + "INITVAL_10": "00010000010001001001000010100010100101000001010001100010010100000101001000000000000000000000001110010000101001100000000000000100101010101001000100100110000110010000100010000111001000000101011010001100000100110100000010000001110001100100101100010000101011010100000010001100001000000000110001000000011000000100100011000010", + "INITVAL_11": "00010000000011001000000010101100100010100000110100100000110000001000000010110111000001011010100000100001101001100000010000011010010001000000000101001000000011000001111100000001000000001010000000100001000011010000001011000000110010001001011000001011010011000000000011000000110100010000000011001011011000001000000001100101", + "INITVAL_12": "00000010111011010010000100000000100000110001100010001110110100000010001001101111000010010010011000110001010000001000010000001100100010001110000001000110011000000001011000001101100000000100101010010010000010100000000100010000001001001100010100010110001001101101000000011100000000100001011010100100011000011011010001100011", + "INITVAL_13": "00000001101011001000000100000000101010000001010010001011110100010001101010100100000000100100101001000001000001100100011000000001110011011001000010110100110011010001001000000100001100000110000000010010000101011100001111000000001000101100111100001000001010010010000000001000010001000000001001001000001100001101000001110001", + "INITVAL_14": "00000111001000001011000100011110101110010000000000000100101000001110111010000000000010001110001001100001001000100100000000000100011000000000000010000010110010100000000010000001010000010100000001000001000010010100000001000000001000001011011100010000000001000011000111010100000001100001100000001001100100001001100001110010", + "INITVAL_15": "00010100000000000000000000001100000100000001000010000110111000000000010001011010000011001100110001100000101100000101010000001100101010000001000001100000001101000000101101000110011100010001011010001010000001110010000111000000010100101011001000001110011001000011000000000100011101110000100110001011110100000011101000000000", + "INITVAL_16": "00010010000011110011000000111100000010100001111100000010110000000010111010011100000100100110001011110001011011100110100000000000100010110000000010001100111100100000110010000110010000011110000001000010000100100000110010110000000001101011110000010010011000001110000010110010001101000001101001100000000000000000010010110100", + "INITVAL_17": "00000100100000010110000110110000000000000001100010100010000000010000010000110101000011110100101101010000100010000011011000001101100010110110000101010100000001100000010001001001000000011011001000101101000011000000100011100000000111100000011100010010010001100110000100001010110001000000010000001001000000011000000000000011", + "INITVAL_18": "00011000001001111000000000000010101100000000010110000110000100010010000001001000000000101110111010010000000000001001000000010010011011100100000011111000000000100000101111101001110100010011011000111110000101010100100000010001000101100000010000011010000001001000000001001000100100110000110100100001110100001101111001110011", + "INITVAL_19": "00011100000000000111000011000000011100010000000111100011110000010100001011000100000111111110011100110001100100000010001100000111011001001100000110110000001100110000010001100010110000011000001000001100000100110110000100000000111111001010000000010000100000010000000000010000001100100000010001101100100000011110001010100110", + "INITVAL_1A": "00000000100000011000000101100010100101000000101001100001010000010100000000101110000110000000001010100001110000101011000000001100000000110010000000011000000000100000100100100101000100000100111000000101000101111000100101110001101100101000001000001010011000111100000100100100000110110000010110000001011000011110010001000000", + "INITVAL_1B": "00000111000000100001000100101100110110000001111001001101100000000110000000000001000010101010000000110001101001100011001000011010000000010100000110000000111000100000100101000010100100001001101000001101000101100010101001000000000010000001000000001000110010110010000111111010100000100000110100100000000000001000001000001010", + "INITVAL_1C": "00000110000001010001000100100100111100010001101100000110111000000011011001100001000110000110000000010001000001100110110000000011000000101100000001010110010000000001011001001011110100011111000001101110000000110000000011000000011101101110000000011000010010110100000011101100110111000000000111001110110000000000010011011011", + "INITVAL_1D": "00010000010011000010000101100000010110000000101011000000011100000101100010101110000111001100111000100000000101100111000000011011000000010110000110000000101101110000110000101000000100000000001011110000000001000010010110000000101011000000011100000101100010101110000110001100111000000001001100001101000100010110000010101101", + "INITVAL_1E": "00010000010000110100000111000000001010000000001000000111100100011001011001100111000101011000011011000000001000001110011000010000001010110000000000000100010010110001010110101001011000000101010011011000000000101100000110110001000011001100001100010110110011000000000101100000110111010001000011000001110000000000100001000000", + "INITVAL_1F": "00011011000000001000000110010010000010110000111001100110000000000100001011001100000011010110100110110000000000100000001100000110001010100101000000110010000011010001001110000110100000011000001010011111000000110010000101000001110011100011110000000001100001010001000011000100010011100000001000000100001100000000110010001101", + "INITVAL_20": "00010000001000000010000111010010000001000000111000001000001000000110001000101000000000100100101000000000001011101100010000001000000010000001000010000100010000000001100111001100010000001110010000001000000110110010010011000000101101001000101100010001100000000100000011011000000001000000110010100000000100010011000010010111", + "INITVAL_21": "00001100010010100000000000100110011010010000111001100001101000010100110011010010000011001100001101010001011001000011000000010100011010000101000100011000111000110000011001100011000000001101100001001010000011000110110010010000100101100000110000010000100001100010000011100110100100000001011010100100000000000101111010001000", + "INITVAL_22": "00001000101001111101000000001010100110010001000101000010000000011100110001100000000101010000000010000000111010001001001000001000111001001101000101101000101010010001000101100001110100010010110010100000000001100000100011010000111101100101111000011000010000011100000110001000101111100001011101100111111000010011010000100001", + "INITVAL_23": "00001100011000010100000110110110010010100001001100001011000100011011001001101010000000011000001000110000101111001101001100010110000010000011000001100010001011110001010111001101101100010100110010001000000010110000101010000000000010001101101000001011100011000110000101010010101101000001001100101000110100000001000011000010", + "INITVAL_24": "00000101100000010011000110010010001111000000101001101000000000011101011000000000000101101100100101000001010110000011000100001111000010100101000100100110010001100001011010000011110000011000100010011000000111101000011001000000100000001010100000000110000000000010000010000000100101000001110001000110000100000110100010010100", + "INITVAL_25": "00000100000010011110000010010010110000100001001111101101111100011111001010100111000100111110111110000001000110001010000100001010000010110001000111000010101100000000010000000010010000010101010000110101000100011010011100110000000010101000000000000011001000000000000101000110000110010000110001100101110000000111010001101000", + "INITVAL_26": "00000001000000001000000000011010111001010001001111100101010100001101000011111101000001111100010011000001101010001010101000000110010001000110000000001100010000110000100111100110100100000010100011110000000101001110100111100001000101100100110100000001000000100001000000010100110001100001011110001010101000000101000000010011", + "INITVAL_27": "00010001010001001100000011000110110001100000011110000111000000011001001011001100000100110000110100100000001100001100010100000100000001000001000000010110111100110001100111101011010100000111111001000111000101000000100000100000100000100000100000010101111000101100000111110110011010100001010011101110100100001110000000100010", + "INITVAL_28": "00001101001011010010000100000100000111000001100010001010111000010000011001101001000100011010010111010000000011001100011100000110001010101101000011011000001010100000110000100100100000011000101000011000000001100010110001110000011110001101100000001000001010000001000000110010001000110000000010100001100000011000110000001001", + "INITVAL_29": "00010001100001101111000110011100001010010000011000101101111000010010011000001111000010100010100011000000011110000110110100011110001010001100000011011010101011000000010100000110000100001000001011110101000101101100111011000000100100001101010100000110110011110010000101001100001011010000100110101100100000000100101010100111", + "INITVAL_2A": "00000101010010001101000011000110010110010001010000000000100100000100100010010000000000000000000000000000000000000000000000000000100000011000000001111100111101100000010001101111111100011010001010000010000011111000101110110001011111100100001000000101101001000110000000010010111110100001110011000011100000011101010000110001", + "INITVAL_2B": "00011000001010000010000001101000000100000000011001000000000000000100111010010110000000010000011101010001100011000000101000001001110000011000000111000000100010100001110100000001100000011000010010110110000001000010010100100001000110000001001000010001100011100100000001110000010010000000101100101100011100000110001011001001", + "INITVAL_2C": "00001001011010111110000010000000001000100000110010000001000100010000100000110000000100001000100010100001010100000010001000001110001010001001000100001000000011100000010001000010000100000001100000100011000011000010000101000000010100100001100000011000001000001001000000001000001110000001100011000100001000011001110010100010", + "INITVAL_2D": "00001010100011000000000110011010000100110001000100000100010000001011000001000010000010001010110001000000001000100011100000011011100011000010000000001110000101010000001000001000011000000010001010110000000100010100000100100000000100000101001000000110001010000010000000111010110001100000011001000001100000001000001000001101", + "INITVAL_2E": "00001100001011010001000011100110010011110001010001100010010100010001111010010001000011010110100110010000000011000010101000001100110010001011000000101010010101010000000011000011101000010100100011000111000000110000101100100001100001000010010100001010000000011000000100000100111001100001110010100100011100000011000001011001", + "INITVAL_2F": "00000001101000110000000000010000000001100000110000001001111100000011001001101000000011001100101110100000001101100010011000011000111010010011000001000100010010000001100000100010011000010001011010001000000001100010000001010000010110100010001100001010100010110100000100001010111101000001011101000000000000000101101010010000", + "INITVAL_30": "00000010000011010010000000000010001000000001011001001101011000011010110001010110000010000110101011000000011000001001101000001001100001100011000000000010100010110000111000001001100100011010100010000011000001100100110000000001010001101101010000001010010000110110000100001100001101000001101100001010011000000011111010011010", + "INITVAL_31": "00001010111010010010000101111010010110110000000000100101111000001001011010001100000100000100100100010000100011001010010000000100101001010001000100101110001100100001000101101100000000001010110010100100000101001110011010010000101000101001000000000000001001111001000001001100001100100001100000000010111100000101111001011001", + "INITVAL_32": "00001101001010001010000000000010010011000000101000000101000100000010111000101110000100110000100010010000001111100000100000010001001000010001000100100000110000010001100010101100101100011101110010101010000001010110111110000001101011100001100100001010101011010000000000110000110100110000110111100010010100000011001000100000", + "INITVAL_33": "00001100101010001000000110100010101110100000101000001110101000010001101001010101000101000110010010000001000100100001001100010010110010000001000101000100110000000000111001100010000000000110110011110100000110100000001101000000000001001101100000011010010010000011000000110100110100110001101010101011001000001010001010000001", + "INITVAL_34": "00011111000010001010000111001000111000000000011110101010010100001010101000100011000010101110000111110000000010000100001000010100110011111100000110111100011110100000010110100010110000010000110001000011000010011000001011110000100100101000110000000100010010011101000001100100000000110000111010100010100100010000100011000010", + "INITVAL_35": "00001000101010101001000100111110000110010000010100100010110100000011100001001001000111111110010110100001001100101001111100000101101010001111000100010110011100000000010111000000011100010000000010000000000001110010000101100000001001100010000100001000010000110001000100010000001101010000011001000000010100001001000000111110", + "INITVAL_36": "00000000101001110111000001110110000000110001100110000000111000000001000001000010000011000100101010110000010001100010100100010111100011000000000001110110011001100001011001000101000000000100110010101100000100001110100100110001001101000001111000001001101000011111000010100100110101100001000111000010010000011111111011011011", + "INITVAL_37": "00011010101000000110000000101100111000100001011100001011110100010100100011000100000001111100110010110001111111001100110000010001100011001101000100101110101111010001010011000010010100011011100000110000000000110100001101010000100010000101110000000001101001010001000000111110000000110000001100100001100000001011011000110101", + "INITVAL_38": "00011101001001001111000010101100000000110001000000101000100000000001010010100001000010001100001111110000000011100001100000000000101000111100000000000100000010010001100011000010001100000000100010110110000111010100001110110000100010001000000100000100011001100101000000110010001011010001000010000100101000001000011001100000", + "INITVAL_39": "00000111011000101010000000001100100010100000110010101110111100011111110000001110000100001000010000100000101000100101000000000011010000010011000001010010101101100000100001001011111100001001000001010000000100110010010011010001101001000101000000000000000000000001000110001100001000000000000000100110110100010010101000010000", + "INITVAL_3A": "00000110001010110011000000001010000100000001111101101100100100001001000000011011000000100000010011010000001101000011011000001011000010000000000100100100110111010001011011100010101100000111000000000100000100100000001000110000010110101001001000000100011000010001000000001000100010000001100010000100000000010010100001001000", + "INITVAL_3B": "00001001101001110111000000101000000110100000111001000011100000011010110011110000000000000000101111000001110110000010000100000000100010000110000110001000100100100000000010000001101000010100010000001010000000010000000011010001100100000010011000000000011000011011000011100100100101010001011011000100110100000100111000010010", + "INITVAL_3C": "00011111010000000001000001001110100100000000100000100001000000001000000000000111000101100010100001000001000001000001001000000000001000001101000001001000001010100000001000100101011100000011101011111010000010011100010000010000110000000000000100010001000001000010000000010100001001110001110100100011100000011110010011010011", + "INITVAL_3D": "00000001000010000001000001011000000011000000000111000000011000000000001000100101000101100100000000010000000010000100001000000010011001010011000010101010010101000000110010101110101000010101101000110011000000000010101001010000000100101001000000010110110001010111000001001100100010100000110000000101010100001000110000111111", + "INITVAL_3E": "00011101000010000010000010011100000001000001000001001000110000010110000010101001000100011100101100000001000100101101110000010011011001010000000000010000000010010001001001101010001100000100101000100010000110001110110011110000010000001110000000001010000000110000000000010010001011010001001001001100011000000111100000001011", + "INITVAL_3F": "00000000011011101111000101100110101001000000110010101001011000011000000010111010000000101100110011100000001001101000010000000110001000001001000100100110000010010001000010000010110000000000100000100001000000100110000100000001000001100001100100010110011011111110000000001000011010110000010000001011001000000100000011100011", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9536 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9860 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.2.2.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00010001100001100010000100110000000000010001000111000011011100010110111000010001000110000100100101100000011101100110100000001000011011111010000000100010000000100000000000000100000000010101010010111110000000110000011101100001111101100110000000001001011000010011000100010100100110000000000110000000101100000000100000001100", + "INITVAL_01": "00000000001010000001000100100100000100010001010000000000000000010100011000100110000000110110011110110001001110000100110100011000011001100100000101110010001100110001010100100000010000001011001010010111000101000010111111110001011000100001010000000101101001000001000101111010001011010000101010100000011000011000101011111100", + "INITVAL_02": "00000100001000110001000100100100000100000000010100101101101000000110000011010001000000100000001110010000000010001000010100001000101000001010000010100010011111110000111000000100011100000101100011110110000100001000011110000001001000101011001000011000100000000100000101001010101000110001000100000010010000011100001010010010", + "INITVAL_03": "00000000100010001010000001000000101000100000001110000011111000001001110010000000000011010000000100000001110000000001100000010000111001100010000000101000001100000000001000001000110000000000100000000110000001100100001100100001100001001000000000001100010001010001000000100000001011000001101100101110110000010000011000011001", + "INITVAL_04": "00011100011010100000000000000000000000010000110010100010101000001010001001001100000101001000011011110001110000000000101100000110001010001010000011011010001100010000000010100000010000001001101010010101000110000000010001100000011000100100110000000000000000010011000000110100001100100000000010000010100000010001100000011001", + "INITVAL_05": "00000010010000000100000000110010100101110001010001000011010100011001000010000000000001001010101011100000000101101000110000010001010001001110000001001010101011100000001111101110000100000011001000010100000100000000001110110001100000001000100000000000001000101001000100000010011111000000110011000010011000000011000010000101", + "INITVAL_06": "00000000110010101011000100110000010000000001000100000100001100010000010010110100000000000100001011110000011100000010010100001010001000010110000000010000101111000000001000101000001000010000110011100111000111000000000010100000100110001000111100010001100000010000000111011110100100010001000110001010011000001010110000010000", + "INITVAL_07": "00010010001000010101000001010000100001000000101000100100000000000010101001110001000100011110000100010000111100001011110000010000000010111000000110000010010010010001100001100001110000011100110000110001000011101010110010000001001001000100011100010110100011000110000100101000010001000000010001000011010000000101010010110110", + "INITVAL_08": "00000101000001010001000000100010100011000000100010001000011000000000001011100001000110101010101110000001001000101001011000011001101000001100000000010110001000000000011000100010110000010000101010010111000111011000111010010001010111100100011100000010000011011011000011110000001000110000001100100110101000000100110000000000", + "INITVAL_09": "00000001100011100000000000010100110111100000100001101011110100001010111001001000000110101000101000110000100100000100000000000011011000000100000000000000001101100001110010000000100000000001001000000001000000110100000010000001101100001001000000010001000010100010000100101000011010010000100101000011010000000010100001111101", + "INITVAL_0A": "00000111100001111010000111111100011000000001010100100000100000010001110001100001000111101100010000010000000110001111110000010000011010000110000010100100000100010000010000100010100000010100011000000000000000110000100110000000000011100000000000010111001010000110000000000010110010100000110011101000010000011001011011001011", + "INITVAL_0B": "00000011010011000100000111111000000010010000110001001001000000011000000011000000000011001010010001010001000110001110001100001010001011000000000100101110000000000000000010000101000000010100000010110000000100000000001100100000100100100100011000010010010010001101000011000110110000000000111001101110011100001100000011010001", + "INITVAL_0C": "00010100010000000100000100000010001110000000100110101110010000001100000001000100000101011010000000000001100110001011000000001010001010100101000011010110110101110000100001001011011000010100101000000000000100111000111101010001001010000000101100001110001010011010000101000100110011010001000110001000110000001111001000100001", + "INITVAL_0D": "00001110000000010101000001001000001100010000000000000110111100000100100001101000000110010000000001010000001000100000000000000001001000010111000110010010000101100000010001001000000100001000101000001001000000111100110010010000001111000101000000000100010010011010000110001100010100010000100111100010001000001000101010001100", + "INITVAL_0E": "00000010100001001001000000001010010000100000011011001010000000000100100000010110000100101000010000010000010010101101001100010000100000010010000000100010001010000000001111101010001100000101000010100100000100001010010000000001011000000100010100001010000000101010000110001000100000110001011100001010000000000101000000011110", + "INITVAL_0F": "00000000111010101101000000010100101100000000000000100000000000010101011010101111000011110010110011000000000010101000010000000000101001011001000000001000101111100000110101000011101000011001101001010100000000010000100100110000010101000101111000000100011001101011000000101000110000000000100100000010110000001000100010100010", + "INITVAL_10": "00001011001011111110000100011000111111000000000001000010011100001010000001000100000110000000000000110000011101001101001000011101111010100101000010000000000000000000000000000000010100001000001001000000000010010010001001000001010100001000100000000001010010010010000101001000101000000001010010000001011000000001010010111110", + "INITVAL_11": "00000001000001110010000001100100000001000000001000000011000100001101100000001000000011000010000110000001000001101000100000011111111011010110000010110110001001010001111101100011100000000101000000010001000100010100011101000000110110101000100000000100011000001010000000111000000010000000110010000100010000001010101010100010", + "INITVAL_12": "00001100001000101000000000001110000001110000100001001110000100010001101011101000000111010000110100000000101001101001100000000001010000000001000001111100000000100000010100100110100100010000000000100001000000100100100001000000000011001000110100010000011000011001000011011000000010010001101000000100010000011100010000100101", + "INITVAL_13": "00011101010011010110000000001110101011000001100000000101000000000011000001010011000100011000010010110000110100001011100000001011010000011000000000100000000000010001100011000010001000010100100011000001000011110100110100100001000000000010100000000000000000010001000100101100010100110000010100001000000000000001010001101000", + "INITVAL_14": "00001110101010101001000001100000100010110000100010100000110000010100110001001100000100001010111001110000000010000100100000011001001001111100000010001100010111010000010101000110000000000001100010110001000000100010010000000000001000000011111000000000011000001000000000000010000100100001100010000110010000000101000000000110", + "INITVAL_15": "00001100010000110110000110011100000001000000000100001101001100000000010000000000000101110100000010000000010001100001001100000110110000000100000011100010011001000000100110101011110000000010000001000110000000101100010001010000011011000000110000001110000000010001000101001100011010110000000010001110110000001101001000110010", + "INITVAL_16": "00011100100000010001000000010110100110000000100011001100101100000010000000010001000101101110110010000000001000101110110000010001010000100001000001010100101011000001110100101000100100001110000010101000000000001000000000000000001000101001011000010100000010000111000010001010101000010000000000101010000000011001100000001000", + "INITVAL_17": "00001110101010100101000000000000001100000000010100001111001000010100000000010001000000000000000000000000000001001000000000000100010001111000000000010110001001110000001111001010010000000000001000101010000011000000000101110001101000100010010100000001011000100101000100011000101001110000100001100101100100000010101001010010", + "INITVAL_18": "00010111000001000010000010000010001001100001001000000000010000000111001000010100000000000000100000100001000101100011000100001000101001000000000000100000000001100000101000101100100000000110011000010000000000001100100000000000000101000010000100010100001001000001000000100000010100000000101001001000101000000000011001100001", + "INITVAL_19": "00010010000010010001000101100000010000100001100010100110001000000100100010000011000000010010110000100000100000000010001100000001000000000000000100011000001001000000000001001111000000010001000000001011000111000100011000000000010101101000100000010000011001000101000010111010101010000001010101101110110100000110001000111000", + "INITVAL_1A": "00010000000001000111000001110000011100010001010010000011100100011100001000111101000101100100001000010000001100000101101100001100010000101100000010001010110001100001011110100001100000010000100010100000000011010010000011000001010010000101100000001010010000010010000100100000001000110000010010000101000000011100011000000100", + "INITVAL_1B": "00001111111000100010000100000100010010000001100100000100110000001100001000101110000000100100010000100000000110000010001000000000010000000011000001111000010100010001011010000011000000000010111000010011000100010000010100110001001000001000111000010000100011000010000011110110100001110000100100000100110000010110100010111000", + "INITVAL_1C": "00000100101000110110000011001000110001000001111000101001010000001100011010010110000001100000010111110001000010101001100100001000001001101010000010111110101111010000000100101111000100010101110011111001000110000000000011000001101100001000110100001100011011000110000100011010101000110000100101000001001100011101001000000011", + "INITVAL_1D": "00000000000000000000000000000000000000000000000000000001101100000011000000000010000000010010001001010000010000001010000100000000101010001000000110000100100000000000000100100010100100010100110010111101000010011110000001000001011010000110100000011101010011110000000011000000000110100000000110001000011000000100001010110000", + "INITVAL_1E": "00000000010001000000000000100000100010100000000101000100000000010100100000001000000000110110011011000000110100101100010000000000100000010100000011111010011111100001111000000000100000000101000001000100000001011000110010000001101000001000011000000000000000100100000000101000010100110000001010000000000000000000000000000000", + "INITVAL_1F": "00001100001010110000000010110000001010000001001001000101101100000101000011011001000010001100110000100000000100000001011000011010100000001001000010000000001010000001110110001001110100000011100000100010000000001100000100000001111100101101000100010010000010001010000001000110001110100000001010100010110100000110110001010000", + "INITVAL_20": "00011100000000000010000101100010010010100000011100100001101000010001000000100001000100010100011001000001000000000101100000000100100010110011000000010000001001000000111111101011100100011100100000010001000100111010001010110000100110001100000100000010110000101000000110001000010011010001000000101100011100010001110011100001", + "INITVAL_21": "00000011110000100000000000000000100000110000000010000100100000000101101000000100000100010010010011000000100000100001110000010001100001100100000100000100100010100000010111100001000000000100000000000001000100000100000010000000010001001000001000010001000010100010000110000000101011000000111111000111001100010011000000010000", + "INITVAL_22": "00001100100010011011000101000010011001100000100110000000000000010010110000100001000100010010011010000000100101100000011000010001100000000010000010011010100100110000001010000001000000000011001000000100000010110010100101000000110001000001100100000000011000010100000110110110001000010000001110001000110100001000001000010000", + "INITVAL_23": "00010101011000111011000010101000010110110000100001000101101100000010000010110110000110110000100000100001110010000101100100000010001000100000000000011000100010010001001100001010111000001010000010010010000011001110000101100000100000001000101100000100010001001111000001011110010011110000110010001001111100010101010011000111", + "INITVAL_24": "00000000000011011010000000000010000101100000010101000000001000000101000001011011000000100110000000100001000010100101010100000000001010011100000010000000110010100000000000100001101000011000100010000111000110100110101001000000110010001100100000000010001001100000000010111000100011110001000001100100011000000000001000001010", + "INITVAL_25": "00001101100011000100000010100000011010000001100110001110111000001110111011011111000010111010011111100001011100101101110000010010111001011000000010010110101010000000111011000000001000000110111010011000000001110010000001110000111100100010100100000100110010001000000110100000010000010001000100000000001000010000010000000010", + "INITVAL_26": "00001000010001110000000000111000000001110000000100101000001000001110000000010000000001001000000110010000000011000100000100011000000011100100000001101100110001000001001011101111010100000111010000111100000110011110101101010000101010001010100000011010001010011001000010100000100011010000101011101111101100001010001000011110", + "INITVAL_27": "00000101001001000101000001100010000010010000000100001111100000001100100000100010000100010000101000010000010100100100110100000110111011001000000110011000000011010001001000101011000100000001000001110110000011001010100110000000110001000000101000001100101000001001000010100000010001010001000000000001110000000100100000001001", + "INITVAL_28": "00000001000010001010000011010100001001110000101010000100010000000100011001000010000010011000100110000000100010001000100000010000001001100111000010000100001100100000100011000001000100000001000000011000000011101100010011000000100000000001001100010111001000011100000001000100111101000000110011001000001000011101111001000000", + "INITVAL_29": "00010010000010001111000111010010100011110000001110100000000000000100100010110111000001000000111010000001010010000000001100000001100010101110000110100000011011000001011001000000000100000000000001000110000110010000110100000001000100100010000000010111100011000100000100100100011100000000010001100100111100001001101000001010", + "INITVAL_2A": "00011001010000110111000100111110010000000001000000001101000000010000011011100000000001001000100101000001111111001101110100001010101011011110000100001000000100110000010001100010001000001001001010101010000111010110111101100001110000001000101000010110010000111101000010110010101011110001101000000000010100000110010000111100", + "INITVAL_2B": "00010001010001100010000100100000100010000001111110000001010100000000110011110110000000111100001010000000100001101001001000000000001011000000000111100100000101000000000000000000111100011110000001001011000001111110101011110000101100100011101000000010000000000000000000010000000101100000011001000111010000010110110001110100", + "INITVAL_2C": "00010011100010111100000000011010001010000001000000000110100100000011010000100110000101001000000111000001011001001011111000000010001000101100000111000000100010000000001111000010010000001011000010100101000110100000010001110001100000000001100100010001100011101001000101011110011100010001110000100011100100001010000010001001", + "INITVAL_2D": "00000000000000000000000000000000001010000001101111000111110100010000010001000100000000100110000100110000001000000100100100000111001000001101000101100100001000010001000101000110001000010011000010100100000110001010001100010000101110100111010100010000100010101101000110000100101101010000101000000101010100011110001001100001", + "INITVAL_2E": "00010010010001000000000000011010101100010000000100001010000100010001010000011010000100111100000001010000001101001111101000001001010010100100000011001000000110010000000001000011010000000010001011001000000100100010111101110000101010100100111000000111110000001001000001011100011000000000010000000100000100000011100010111010", + "INITVAL_2F": "00000100000000100101000000011010100100000000000000000010000000001000001000101000000010001000000001000000000100000010010100000011000010000011000100100010110001100000010100000100100000010010011010000100000010011010010100000001101010100111100100001110100011101000000110100010100101010000011000000101000000000000101000001000", + "INITVAL_30": "00011010001000010110000000110100110100010000110111000101010000001110001010100110000000001100010111100000100000100000100000000000000000000110000101000000111011100000000101101101000000010010111000010100000110000100001001100001001111100111010000011000100011000100000000110000100000010001000101000000001000000010001001000010", + "INITVAL_31": "00001000101011000100000011010100001100010000100111101001000100000011100001101000000010010000000101000000100001100000110100000000010000001011000001011110001000000001000000000110000000001010000010000100000000001000000101000001011000101001001100010101110010100000000110100100110100010000110010000101101000000011011001011101", + "INITVAL_32": "00010001000011001001000000110010100010010001101001000101111000001110010011010010000001000000111000010000010101000011000000010000101001110001000000011010100101100000001000000000100000000110010000011010000010101000010000110001001000001010000100010001000000000000000001000100101010100001110001000000110100001101010000110001", + "INITVAL_33": "00000100010011000000000100100010000000100001110100100000010100011100011001000011000001101000011000000000100001100110111100010000000000001100000000001000011011000001010011001111011000001000000001100100000010000100001000110000110100100111100100011001011001000010000001100100001111000000001000001100001000000010001010010001", + "INITVAL_34": "00011011110011111110000110110110100100010000110111100001101000011010100001111000000101100010000001000000001001001000010000001100001001001000000011001100000100110000100001001100001100000001011010100111000010000110100101000000000100000001000000000010001001100000000110000100100011010001011001000111010000001000111001000000", + "INITVAL_35": "00000000000000000110000110000100001111000000010010000101000100000100011001100001000100100000100010100000010101000001110000001101101011010010000111001110100101110001101000100110001000010100110010001111000000101100110001100001000110000100100100000011010010100001000001010010000010010000001101001000110000001011001001110010", + "INITVAL_36": "00000001001010000000000100001110000011100000010000000001110000001001010001010000000011100000011010100001001111100111101000010001101000000001000001000010001011010000001000000000100000010101100011100000000000000010010000000000111011001101000000010100001000000011000100111000011001110001101000001010101000010111100001000110", + "INITVAL_37": "00000010010000010101000000110000001000010000000010000110110000000001000000001100000010000100010101000000010001001000110000010001010010101000000011101100010000100000011000001000100000001101001010000011000011011100101010100000001100100001011000001010001010101100000000110010100100010000000000000011000100010101100010010011", + "INITVAL_38": "00001001000000101110000000100010010011000000001100101001011000001110100000101000000010001110001110100000101010000010001000010000011010010111000001111000101100110000110001100101110100000010011001100000000100000100001100010001010011000111110100010000100001111001000001000000000100010001010011000011011000000100110010000010", + "INITVAL_39": "00010000100000010110000101110000000110100001000100100101100100000101000011001010000001100010010001110000000000000000000000000100010010111011000010000100100101000000100000001101001100000010001001000011000010110100100110000001011010000101001100001011000011000010000010010000000000100000001010000010011000000010001010000100", + "INITVAL_3A": "00010100100010011011000100110110000001100001101010001010001000010011000000111000000001101100010010110001101100000001000100000110000011001010000011010110001001110000100010100000110000010100110001001100000110100000110010100000110011000100001100001000010010101010000110010000101010100001100010000100111000000001010000010010", + "INITVAL_3B": "00000000000000000000000101000000000001000000010000001010001000011010011000111010000000000010110000010000000001001000000000000010000001100100000010000000000010000000101110100011010000010110010000100110000101001100011101000001101101001010011000001111000010001110000100000000000001110000001000100010000000000000001000101010", + "INITVAL_3C": "00010000001000000000000111011100100100000000000010000000001000011000010000001011000110101000001011100001001100101110010000000001010000010101000110011000000101010001110010000010001100010000010000100010000000110110010000100000010001001000000000001000000000011010000100001100010100110001001110001010000000000000000010001010", + "INITVAL_3D": "00000011001001100001000011101000001000110001101001000000100000010000110001010011000011010010010001000001001001100100110100000001010011101001000001000110011010100000110111100100010100010100110001000111000000101000011000000000001110101100000000000000010000001010000000010000010000010000111101000011001000011110001000010111", + "INITVAL_3E": "00000100011000110011000001010110010000100000001100000001010000011001000010010001000100100000110110010000010110100010000100010111001001100010000100100010001010010001000010000100101100000100100011000010000001001010100100110001000010000001000000001100110010001000000110000000000000000000000000000000001000000000010001101010", + "INITVAL_3F": "00010100001010011011000000010010101010110000001100101010011000011101011010011001000111001100001010110001100011101000011000010011011001001000000011010010100011010001110001000000000100010011010010001100000110000010000010000000111000101001001000001010011000100100000101010000110011010000011100101011001100000001001010010101", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9261 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9865 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.2.3.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00011000011000001101000100100010101011000000001000001000110000001100110010100011000010011100011100010001010001100011010000010000110011010000000011011000100110100001011011001100100100010101000011001000000110111000010000100001100011100100110100010011000000110011000110000000110110010000011010100110101000011001000011000001", + "INITVAL_01": "00011101011001000100000111010000000111000000001000000010000000000100000000000000000010000000100010010001000000000001001100000000010000101001000100010010100011000000001101100001001100000100100000001110000110101100010111000000110000101000001000000110001000011101000111001000000001000001001000000010000100001000001000011011", + "INITVAL_02": "00010000101011011001000011000000100100100000100101100111110100001000101010100001000100100110101000100000011001000001010000010010110011011101000011001000101001000001011101000001011000001011000000101100000100111010011111000001010000000000000000000000000000000000000001010110000000100000100101101000110000000000010001010000", + "INITVAL_03": "00010110000000110011000000100010111010000000100010000000001000010110000011000011000001011000000101110001100101100100000100011001100000100010000101011110111001000000010000101010001100000110000000000110000011000100001111010000001100001000000000001010110000011000000011001010100000100001111100100010100100011101011000110111", + "INITVAL_04": "00000000000000011011000011110110001011000000010000001001000000001101100000010000000100001000010101100000100101101010001100001000101010001011000011011010110101100001101011100010111000010000001001101000000100110010000100110000100000000100001000001011000001110010000111010010000010010000111001000001000000011010001010011100", + "INITVAL_05": "00000100000000001010000010001110010110010000000001101000001000011000011000001010000110100100000001000000100000001010001000000010001000001011000110100010000111000001110010000000000000001000001000000010000001100010110001010000011000100010100000000010000001000000000000000000001010100000000000000111100000000010101001101010", + "INITVAL_06": "00010000101001111010000010111000000101110001000010000001001100000111000000100100000101000000111100010000010111101010000000001110010001010010000111001000011101100000000000000000111000001010001000101000000101011110010010110001000001001111000000010000010001100111000000001000100101000000111010100101000100010010100000100000", + "INITVAL_07": "00000001101000101000000001000010101001000000110100000011000000010010001001000001000010001110010001010001110010100000011100011011100000010000000101111100001011110000010100000011011100000100001001000111000101001010000001000000110001000101000000001111001010111110000100101000111111000000000110100000000000010110010011011011", + "INITVAL_08": "00010110010010000011000101111110101010010000100000001011000000000000011000011010000001010010010101100001111010001010000000000001011000000110000100010100101101110001010010100000010100010001100000100010000111101000100000110000011100000010110000001100001010101010000000101000000000000000111010000100101000000001100010110111", + "INITVAL_09": "00001000001010010000000110100110011111110000001110100100000000000000000001110010000111100110111011100001100101101111110000000010000010001100000000010110110011100000000001000001000100011111011011011110000111100000111011010000101101000101000100000110000010001111000101101100010100000000000101001011110000001101100001101011", + "INITVAL_0A": "00000010001001000000000010100100011000100001011001001000010000000010000000000001000000010100000000100000001101001100111000010000000001001111000000111000111001000000000100101110011100010010001001110010000000000000000000000000001110000011011000001001000000000000000000000010110011110000101001001000001000011101000011111001", + "INITVAL_0B": "00010101101011010100000001000100000011010000000011000000001000001110000000110001000100000010100010100000000110100010011100001011101000100110000011001000100000000000010001000000100100001000100001000010000100101000010001010001010010100000000000011010000000000111000101110000101100010000110100100110010100000000010000100010", + "INITVAL_0C": "00011001000000110110000001001000100110000001010111000000000100000101010011000001000001010010101100010000010010100001100000000010000010010010000000010000111000000001001111000000011100000011000000000000000001010000111101110001100101001110000000010011010000011001000010100010001010000000101010100110101100000001101000110111", + "INITVAL_0D": "00010100011000100000000010010010011100000001110110000110111100000010100000010111000000011100000010100001011001100001110100000000101011000011000101100000010001010001110110100001001000001100111010000001000011011010001010000000001011001101001000010000001001101111000001011000100011000001001100000101111000001101011000100010", + "INITVAL_0E": "00000100000001001000000101111000111101000000101111001100000000000110010000111001000010110100101010100000000011100001011000000011000000101110000010101010100001100000100000000111011000000001001001000001000101101010110000100000100100001101000000000000000010001001000010000100000101000001000000101010011000010111110001001001", + "INITVAL_0F": "00000101111000110001000001000010000010010001001111100001100100000000100000111101000111111010110010000000000100001100011000001001111011100100000101010110100100100000001001101011010100001110110000011001000011101110110111010000101011101101111100011111111011111110000111110010100100100001010011000100111000010101100010110110", + "INITVAL_10": "00001100000011011111000010110000010000000000011110001110100100000111001000100001000001000000010100010001000000001001001100000011110000010000000000010110000100110000110101101001001100000101100001011001000000101000100000100001101100000000000000010011001000010001000000100010001100010000011110001000100000010001000010001000", + "INITVAL_11": "00001110010001101101000010001010100100010000110000000100010000010000000001000001000000001000101011110000010000101010100000011111100011011101000001001000010111010000010001000000101000010010110000100001000000100100100001000000010001000101000100011100001010100001000101000010010100000000010101101001010100010000010001101101", + "INITVAL_12": "00000111001011000101000000011000000010100001010110001010000000001000001010010000000100001000001001010000000100100010110100010001010011010101000110001100110101110000010011001000000000010110000001011000000000010110101111010000001011001010000100010010111000000000000101111110010110000000001000000100111000001111011000010000", + "INITVAL_13": "00011111000010000000000000000110011010000000000110100000000100010010100000000100000111000000100110100000010010100100001000010101000001000000000100100100001001000000100000101000000100001100000000100101000010000000010110000000000101000000100100010101100000001010000000111000000001010001000000100101010100011010100001010000", + "INITVAL_14": "00000010000000000000000000101110110011100000011010000100011000011000010010001010000000110000101000100000110000000001101000001101111010001111000110011010011000100000000000100000100100011010000001110100000100001000100000110000001011000001000100010111001000100010000010011110010010010001001001100000000000000000001000000001", + "INITVAL_15": "00000100100010001101000101101100110000100001111111001110100000011000101000000010000110010010000010110001101010101110101100011001011001100100000100100100010111100000101000100001100000010100010000001011000001100110010110010000010010000010011000010101000000100100000101100010000010000001000010000000000000000001000000000000", + "INITVAL_16": "00000000100000110100000111011010011010010000000111000001011000001100000011001010000110110000110010100000100101000101011000001101111000100010000010111010100100010001011001001000111100011111111010111110000101101100110110000000101100001100100100000000100010010001000011111000001001000001001001000011011000011011011000001001", + "INITVAL_17": "00000011001010000111000000010000000000100000110000101100001000000000000010011000000011100000100000000000010011000001110000001111011000110100000010100000010010100000100111100000100100001011100010110110000101010100101111110000001010001110001100000111000001010010000101100010010110000000010100100011111000010000100010011101", + "INITVAL_18": "00000101100010000111000100100000100001100000100100000111100100001001111011011101000111111110100110100001000010000100000100000110000001001001000100100110000100010001000001100001100000000000110001100010000100100110001001100000010001100000011000000110000000001100000110001010001001100000100110000100011000000001100001100000", + "INITVAL_19": "00000010110001000100000110001000100100010000001000100010001000000001100000011011000000000000001000010000110010000000011100001100110011001110000001001100011110010000100000000100110100011010010001110100000111000010011100010000111110100100110000001010111001001001000101001100010000000000111001000100001100011001000001000011", + "INITVAL_1A": "00010100000011000010000000010000000000000001001000101001001100010010011000101010000110001110100000000001001111100011111000001011100000110110000011100100111001100001000010000000010000001111001000001001000100010010011011010001001100100011011000011011011000111000000100000000010001100001000000000011011000010000000011010000", + "INITVAL_1B": "00001000110010001000000001000000100001000001100000100000000100000100100001001000000010000110011011110000110010001000100000000010101010101101000100110000000100100000101000001000000000010000111011001111000100001000010001100001110000000010010000011001000011010010000001100000000001000000010000000000100000010110000011000110", + "INITVAL_1C": "00000000000000000101000101011010110011000000010100000011111000010110010000010101000011011110000110110001010010001110010000000111101000000101000000000000100111000000000000000011000000010110000001111000000001000110000101000000000000101110100100000101100001100101000000011010001100000001100000100000011000010010000010010000", + "INITVAL_1D": "00000001001001111000000110101000101110000000100010100010000000001111010001001010000000001010001110010000000010100000000100010111110010110010000001101010111000000000001101101010010000011100010010000001000111010110000110010000110010001101010100000000000000001001000011110010011000100001101010000010000100000001001000000100", + "INITVAL_1E": "00011010010010000000000011010000000110110000101111001111001000010000001011010001000000000100010000100000101000000000110100001000000001101010000000100000100001000001000000101110101100010110011000001010000000000010011111010000110010000111100000000000110011101001000001110000101000000000111101001100011000001011001000110101", + "INITVAL_1F": "00000001010010100010000110011000110100100001000101000000000000000111001000100101000100010110010010100000000101101010001000010000010001010000000000110000010100000000000101000101000100001000001001100011000001010000100100100000001000101100000000011000101011010111000001001100011010010000100000101010101100010101110001001100", + "INITVAL_20": "00000101000000101111000110110110101001000000010100000010100000000101111011011011000101001000001010000000010100000010001000010100010010000010000001010100001010000000010001001000001000010000000011001000000001010000000000100001000001001000000000011001000000100001000100010100000000110000101000100000010000001010001001000000", + "INITVAL_21": "00001010000000010101000011101010110111100000000010100001100000001010011001011001000110100100000000010001100000100010100100000101000000000111000000001000001001000001010000000100001000000010110001010000000001101100001010010000101100000000101000000000000000010100000101001010000001010001111101100111010000010000110010001000", + "INITVAL_22": "00001010100011100011000010000100010100010000000110001001011000011011000001111100000000100100111110000000111110000001001000001111000000101001000000011000000010010000000011000001100100001011011000010000000010001010100000100001000101100011010000001001001000010011000000100100100001000001001001000000011100000100100000010100", + "INITVAL_23": "00000001010001001100000010110000011000100001011101000110110000000000110011000000000010000110011011110001001001000001100100000111010000011100000100001010001011000000011101100010101000010100011011000010000111100100010111100000101001000000000000000111000000100000000010010110000011010000101001000111110100000110001010100110", + "INITVAL_24": "00001001011001011110000111101110100110010000100000001101011100000011111000001110000000100110011000010001100101101000011100010010011001011100000000011000001111100000001110000110000100001110101011001010000111000110100101110000111000000010000000011100001000001100000000010010011100000000110000000001101000000000100010010100", + "INITVAL_25": "00001011111000010010000100000010111000000000000000000000000000000001000001000110000111110010001001000000000111100000000000000000000000000001000011001000100100100000100110001000100100000000001000100110000011101000010110000001010000000111100000000000000000000000000000000100000100000000011010101100010100000000011010001011", + "INITVAL_26": "00011111000010000000000101000000011000010000100000000101101100010000101001000100000100100000001101000001000010100101101000001101001001100010000111010100101110100001010110100011010100010111101000110101000010001000110101110000100110001010101100001001101011101101000000000110111000100001100100001100010100011010001010001100", + "INITVAL_27": "00011100111011001110000100101000001010010001101000000001001100010001101010000110000011100000100011010000000001001100100000011110111010111000000101000000011110110001110110001001001000010000001001100100000011110100011000000000100011100010010000000001101010011100000110011000010100000000001000001010111100001101101010010010", + "INITVAL_28": "00010000100000010001000110011000110111100001100010001111000100000111100001011111000001001110100010100001100110000100000100010000010001000011000100011000110010010001101001001010001000010100010000011000000110001010000110010000100101001110110000000100010000000110000010000010100010010000001111000101000100000000010000100100", + "INITVAL_29": "00001000001000001100000000011000111110010000000110000000001100000100001000110101000111011010001001010001011000001110001100001111010010001100000000100110011010000000010011000000001000000001000001100001000111011110110010000000110000000001100100000000001010111111000011010010000000010000001000001110000100000001100001100011", + "INITVAL_2A": "00010100101000100101000100110000100000100000000001001000000100010110001000110001000010001010111110100000001100000000000000001000010010111001000100101100011010010001100111001110101100000100000001000100000001100000010100110001110101000101001000011110110010001110000010000110000011000001000011000110110100000000101001000000", + "INITVAL_2B": "00001001101001001001000010000000110100000000010010001010000000000101000001100110000010001110001001000000000010100000000000010000000011000000000001110110000010100000000010101010000000010100000001000010000110110100110000000000011100101000000000001110100000001010000000001000001011010001100110000000000100001010110000111000", + "INITVAL_2C": "00001000101000001100000010000100101110010001010001100000111000011100000010010100000001110000100000100000101010001011100100010000111000101100000011101110000111010001100011000100111100000000110011011001000010110000110001100001000100001011101100011110010011111110000000011000001111110001100001100111101000000100110010000000", + "INITVAL_2D": "00011101000000010101000000000110110010100000000011100010110000010100000001110010000100010100000001110000010100001010001000000011001010000111000001110100001000000001100111100001100000000110100000110000000000010000101110010001000011100110111000010000111001110001000000001010010011110001001001100011000100011001111000001101", + "INITVAL_2E": "00010000101000011010000001101000001100110001000000101010010100000000001010001110000000010000001001110000101110000100001000010100000010011100000000011000001010000000000110000010001000001001100000000011000100000010100001010000011111000100001100010010010000001001000000100110001101010000011101000110011100001100100000100011", + "INITVAL_2F": "00000000000000000000000000000010000000000000101110000001100000000101001001001100000001101110000001000000001001001000100100010010010000000010000100101110001110100000010000100001111000010101111001101011000000000100111000000001011100001010000000000010000011000001000000001000101100000000001101100101110000000100101000100000", + "INITVAL_30": "00000000100010100000000101000000000000100000101001000011000000000000110010101001000100100100000101100000010010001100010100001101011000000010000111000000100000000000000100001010000000000000101011000000000000000100001011100000100000000000000100001100000000000000000000000100000000000000010000000100100000000000001000000000", + "INITVAL_31": "00010000000001010011000100010010000001100001100000000000101000000000101001011000000110110000100110000000000100001010101000001000000011010000000001101010101001000000101000000111100000000011010001010000000010001100010000110000000101000000100000000000111011000100000010000100010100000000010111001000101100000011001001000000", + "INITVAL_32": "00001000101000100000000001000100010110110000010100001010010000000000100001001011000010001010000111000001000000001010100100000101000000000101000000111000100000000001010100100010110000010100100001010100000101000000101010010000100111000011000000001000010010100101000001010000110000010001010010100000000000000001100000100010", + "INITVAL_33": "00011011010000001001000010000000010100000000000000000000001100000100000000000000000001001100100101010001001001000101100000010100111000111001000101111100110100110001010001101100101000000000100000000100000010010000000000010000100000000010000000010010100000001010000100100100001001110001000000101000000100000010010011011001", + "INITVAL_34": "00001010000000001000000000000000000110110001100000000101100000000010000010100000000100010000100010100000000000000000100100011100010000101100000000011010010000100001000001001000001100001100000010000100000000010100101111100001110011000001001100011101110001000001000010010100110000100000010100000000110000000000010010000010", + "INITVAL_35": "00001010000000110101000110001010000000110001101110000101000000000111101011000101000000000110100111000000101000000010100100011000101000000010000000111000010100000000000110000000001100001010100000001010000000000000000000000000000000101000000000011100101010111001000100001000010110110001001000000100010100000000000000000100", + "INITVAL_36": "00000100010011001001000011000100100111000001110011001111100000001000101011100101000000000000110010000000010011100001010100000000100010101000000000000110000000010000101100000101000000000011001010000101000000000000000000000000101000000001000100011100000000101001000010000000001100000000000010001010000000000011000000000010", + "INITVAL_37": "00011001001010110100000111100000000001000000100000000000110100001011110000110001000000011000010001010000000011000101101000000010110000010100000000000110001000000001101110000101000000000000011011100000000101110010010000010001110000000101110000010100000010100000000100110100000000110000100010000011010000001111100011011110", + "INITVAL_38": "00010010100000000000000011010000100001110001001010000001001000001100010011001000000100000100000100000000000100000001001000010001100011000010000111001100000101000000100110100010100000000000100000110000000111001000001000000000000100000100101000000000110011100100000011011000001010000000000010000011000000010111100011011010", + "INITVAL_39": "00010110011010101100000101000000100100110000001011000100010000000000000010100110000010001100101100000000010100001101101000011001010010010101000010001000101000010000111011100101010000001010101000110000000100111100000001100001001100001010000000001010011010110010000010100000001100010001100000000010100000000010000000000110", + "INITVAL_3A": "00000000110000001000000010001000001000000000000011000000100000001000100000100000000000001100000010000000100010000010000000000000111000001000000010001000001000000000000010000111100000001000011000000100000010000100000000000000001010000010000100010000100001000010000011010100001001000000011001101110010000011011010011010001", + "INITVAL_3B": "00000101000000001101000001001010000101000000000000000000000000011100000010000000000100001000001000000000010100000010110000000100111000000100000101000000101100000000001110000001001000010000000000000001000010000100000100010000000100000000000100011111100000000001000000100000100000000000001111000000000000001000100000100000", + "INITVAL_3C": "00000010100000000011000010000010010000000001110100100011100000010100000000000000000000001000010010010000010100001010000000000111001001101110000011000000000101100001110010000000000100001000001001000010000000000010000100010000001100001001110000001010000000000100000001000000011011100001100000001101110100011011000000100001", + "INITVAL_3D": "00011100100000000110000000100000101000000000001000000100101000000000010000000001000010000110011110010000100000000011001000010000001000000010000001010000000001100000100000000010000000001000101000000000000110111100100000000000001010000000011000001110001001000000000010101110000101000000000011100111000100001000000001100111", + "INITVAL_3E": "00000001000010001100000110011000000110010000001100000101001000000100000011100100000010000010010010000001000001101001001000011100001000000010000100000010110100100000110110000110000000011010000000001100000110001100001011000000110010000101000000000111000000000000000011111000100000000001000000100001011100000100101000000011", + "INITVAL_3F": "00000110110000010100000000011110101000000000010001001111001100001000110001000000000000110000000011000000101100000100100000011010001001110010000010101100000001010001001101000010101100001011000000000110000000000100001111100001000010101000010000010000000000110011000010100000101100000001001001101110010000011101000010000010", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9312 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9864 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.3.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00000000000000000000000000000000000000000000000000000000000100000000010001000000000111100000001110000000001010000000000000000000000000100001000000010000011100000001000000100101000000001010101000111000000001010100101000000000110010000000110000000010010000000000000000000000010101000000000000101010101000010001111010011000", + "INITVAL_01": "00000101001000011010000101101010000110010000001101001011010100000000011000110001000101100010011101010000000000000001000100000000000001010110000101000110001000000000110000001000000100010101001000010000000001100010111010010000101000000011010100000101110000000000000011111100000000000001000000000100001100001010000001100011", + "INITVAL_02": "00011000010010100100000110110010011010000000110001001010000000001000000011000000000011100100110100000000000010000100100000001000000001000001000101000000000100110001110010000000001000000000000000000010000010000000100011000000010001100000000100010011000010010011000010010010100100110000000011101000000000010100000001100100", + "INITVAL_03": "00011011010001011010000100000010000101000001010100000101000000010101000000001000000000001000011000010000010001100110110100001000110000111110000011011100001100010001001010001011000100000011010000000001000000110010000000110000000101100100011000001010010000110010000001011010101011010001100000000000101000000010001010000001", + "INITVAL_04": "00001100001001000011000110000010100001010000110100000011110000001011101001000010000001010100100000110000111000001001101000010000000001001001000010011000100010100001000110001000000100001100000000011101000001000000110001000000000001100000000000001000100001101010000010101000010110010000000011100100011000010100000001001000", + "INITVAL_05": "00000101010000000110000000000010000010000000101010000001000000000011100000111000000010101000101010000000100100100000010000000010000001100010000100111110000000000000110100001000010000000001100011011010000101011100010101000000001101101011010100000010010011100000000000000110010100000001110001000010100100011000100011110000", + "INITVAL_06": "00011001001000000011000001001010111000110000100101001101100000010010010000100100000100101100100000010000010000000100101000010001001000010010000001001110100000110000101010100101100000001000000001001000000000000000110000100000011011100001100000011001101000100000000000111000000010000000101010000001010100000011110001000000", + "INITVAL_07": "00000111000001011100000110001100001100110000000010001101011100000011000011011010000110100000010000000000001010101000000000010101101011000110000001100110011110000000000000100100000000001100010000000001000101100100100101000000010001100010010000000001100000000000000010101000000011010001010110100011001000000100100011001000", + "INITVAL_08": "00010010010001001011000111000000000101010001010111101100000000000011100001010000000001101110100010010000110111000011101000011011000000001111000101000010110101000000110110000011010000011010100000110000000110011010001001010000011101000110010000000011011010010110000100001000001101100000011010000100001000010010111010010000", + "INITVAL_09": "00000100000010011000000100100010101101100001011100100010110100000011111001001111000001000000001000000000000110001000000000001011111001101101000011011010100100100001100010001101010100001101010011101110000011010010001001010001001011000101101000011100001000100100000100100100110010100000101110100101011000010101110010000110", + "INITVAL_0A": "00000000100000000000000000000000000000000001010101001010100000000000000000001010000101010110000010000000000000000000000100000001010010101010000100000100000111000000000010000000110100010110000000111000000110101000010010000000000100100000000100000000011001111101000000011010001001000001000001000011110100000101100001101001", + "INITVAL_0B": "00000010000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000100000000100000010010000010000010000000000000000000000000100000000000000000000000000000000000001000000000000000000100000000000000000000000010000000000000000000000000010000010000001000000101000000100000000000000000000000000", + "INITVAL_0C": "00000000000000000000000011000000000000000000000110001100011000011101011001010110000000001010010010100000111000000100100000001110100001001100000000000000010110000000000111001001000000000001010010101011000000000000000000000000011001001010101000010000000000000000000001010100101010100001000000000000000000000000000000000000", + "INITVAL_0D": "00000000110000100000000001110110010001110000110010100100001000001011011001000100000000010000001100100001100000000000110100010000001000101010000001101000000000000000111000000000100000000000100001100000000000000110000110000001100011000000000000000110000000110100000100010010000010000000000000000000000000010100000011000010", + "INITVAL_0E": "00000000001011000000000000000000001100000000000000000001010000000100000001001010000110100010010001100001000000100101001000000000100011001100000000100100100001000000110100001101100100000110111010100010000000100000101100000001110000000011000000001110000000001110000010010000000001000001100010000000000000000110001000100000", + "INITVAL_0F": "00010101110011100000000100001000010100100001001101001110000000011010001001010000000001101000001000000001001000001010000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000111010000000000000000000110000000000001000000000000000000000000000000000110000000000000000000000010000000000", + "INITVAL_10": "00000001010001010010000100001010101111000001001000100010110000011001001000001001000000000100011000010000110111100001100000001010001010010011000011100000010101110000010000000010101000011011001001001010000001110110011100000001110111000010111100010010110010100111000001100100010000000000001000101101100000000000000000100000", + "INITVAL_11": "00010000000000000101000110000000011100000000010000100100000000001100000001011000000111100000011101100001101001001110000000001010100001000000000010010000001101000000110010000000100000010010101010100001000000001000011011000000001011000000011000010000001000011010000100011010010000000001100000001011010100000101001001011010", + "INITVAL_12": "00011101010001000010000100000110111100010001101100000001111100000011111000010011000110000010101100000000001110100111101100011111111001000101000100011010001100100001000100000101000100010000110011111000000110001100001000110000100010000010000000010001000000101100000000110000001000100000100000101000100000011010110010000001", + "INITVAL_13": "00000001000000001011000010100000011100100000110001100000000100010111000000001111000110000000011001100001001110101000010000011001100000001000000001001110000100100001011110000100011000000101010000001100000010100000010010010001001100100000000000000111111000101000000000101010000000110000001110101000001100000000111010001011", + "INITVAL_14": "00011001011010001100000011000110110100000000000110001010100000000010000000011110000110000000001100000000001101001101010000000001100011000000000111101100011110000000011001000000010000011010110011011100000011000110001000000001000110001000111000001011101011100001000011001010001001000001001010001010100000001010011000100011", + "INITVAL_15": "00011001000001101110000110010000110000100000110111101110010100001001001000000110000000001100001100000000001101101000010000011111100000100110000011010000001001110000110000100011001100000000000001000110000100000100011100010001011100101110100000000001101010101000000001100010110000000001100010100100010000010011001011111011", + "INITVAL_16": "00000001100000000000000011000000101011010000111100101101000000000001100010000101000001100100000000000000000011001111011000010110010010100001000100101010101110000001100011000000011000010000010011101111000000011100010000010001011110100110010000000110000001101110000011111100010000010000100100000000110000001110100010001000", + "INITVAL_17": "00011010001010001010000100000110001001100000110100000110000100011000010011100110000110100110100001010001001010001000010000000000110000001000000000110000000000100000000000001100000100000111100000011000000001110110000000110000000011000000001000011100010010001111000000000010100110010000010001000110001000000100000010110000", + "INITVAL_18": "00010110100011001100000100000010100100000000101000000000001100000010000010010101000011101010011001010001110000000011101000010111101000001000000010100100100100010000000000001101101000011100011001101100000010100100011111100001000101001100100000001000110001101100000100001100000000010001011100000000011100000111101001100100", + "INITVAL_19": "00010001010000000010000100000000001110000000001100001101100000011101000010001100000101011010010010110001010001000100011000010000000000011000000100100010000100000001100010101110010000000110010000000110000000011100100011110000100010101010000100000010010000000010000000010100000000110001000111001101000000001000111000110001", + "INITVAL_1A": "00001000010000110010000001010000011000010001010110001000111100000010000000100101000011000100011010000000101100000011000000000000001010000100000000011000001111100001000111101110000000011000011010000001000111011010111111000000111101100100001100011001000010011001000001110110001000000000010100101010100000001000011001010001", + "INITVAL_1B": "00000010001010110000000001100010000110110000000001100110000000010001101001000000000010001000011011000000000110101000001000000110101000000001000000001000001010010000000000001000000000000001100000000010000000000010100000010000000110001010100000010010011010111101000011110110110101110001010101000100000100011010101001011101", + "INITVAL_1C": "00000100001001001010000101100000011111000001000110000000000100001100010000011100000111011000101000000000010010000001010000000001011010000001000110000000000101110000000000001100000000000000010000010100000001010110000000010001000000000000010000000111000001010110000010011100000000110000000000000100010000011000000000010000", + "INITVAL_1D": "00010000000000010000000000000100010100000000000100000000110000001000000000001100000000000100000001000001000100101110000000001000110010000111000001000110010010010000000000100000000100001000000000000010000001110010010111010000111000001011000000000000000000000000000000000000000000000000011111100011100000000011100000000111", + "INITVAL_1E": "00001001011011000001000100100000000010000000000110000010101000000100100001001001000010010100010010100001000101101001001000010100010001100100000101010000100110100000000100000000001000011001010011010001000000000000000000000000101100000000101000011000000011010000000000011000000000110000111100000000000100010111100000100111", + "INITVAL_1F": "00001111010010010001000111101100111010010000010010000000100000000010010001100010000001000000111101110001000001101110100000000000000000001110000000000100010000000000010011100000000000010000000000000000000011000000001010000000000100000000000000010010010010001010000000010000000110110001011101001010001000000100001000110010", + "INITVAL_20": "00000001000000011000000000000100001101000001110010100011110000010011111010000110000001010110100100000001111110100010100100011111101011111010000011010100011111100001001011101110001000001001010001000000000110001000111110010001111001001110010000000000110000110110000000111010101100100000101101001011010100000000011000011100", + "INITVAL_21": "00000110000011011000000010100000000011000001110001101100011100010000000011111011000100011000000101000000010110000000101100010001001000001011000000010010001011100001101110101101101000010000110011101000000110001100000010110000010011100100111000000100001000000111000101011110010100000000000011000001010100000000010000000011", + "INITVAL_22": "00000000000000000011000000110000000001100000000000000000000000000011000000000110000111100000000011000000010000100001110000011000000011001100000000000010111000000000000110000110000000001000010000000101000000000110100000000000000010000000000000000010000000000000000000001110010000100001100010000011001100010000100000100000", + "INITVAL_23": "00001011001001001011000100001000001000010000000100000100001000000110000000000110000000100100001000000001001000000010000100000010011011100110000111000000110000000000000100000010010000000010110000010011000000000000000101000000000001000010000100000000000010100000000000010010110001000000100000000001000000000000010010000000", + "INITVAL_24": "00010100001000111000000000100000100101100000101000001001110000001000010000001000000011010110001010000000000010000110110100000010011000000000000000000000100010000000000000000100000000000000000001010100000100000000100001000000000010001010001100001100000010011100000010000010111000110000011011000011100000010000010011011001", + "INITVAL_25": "00000000000001000000000000010100000000000000100000000000101000000000000001000100000010001000110000000001000010000010001100000001000001000000000000000010000011000000100101000001100000010010100000000001000000011000010011100000001100001001010000001100001010001000000110000000000010100000000000000000100100011000101001011100", + "INITVAL_26": "00011110000001100000000000010100000011000001100000001000001000000111100000110001000100000000001000110000001100001100010000001100100001000010000000100000101100010000011100000000000000000000000000001001000000000000001000000000000100101000000000000100000001001110000000000100011000000000101000000000001000001100010000000010", + "INITVAL_27": "00001100100000001100000001111100100010110000110110000111101000000110001010001111000101001100110100100000010011100000000000001010001000100010000011000100001000000001001011000000010000010101111010110000000001111000010001010000100010000100100000000000011001100000000000011010100000000000000011000000000100000101011000000010", + "INITVAL_28": "00001000100010000001000101000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000100001111011001010000000001100000000011110001000101100000011000011001011010100000", + "INITVAL_29": "00011010000000000010000010001110000110010000000000000110100000000000001001100011000100010000110110100000000000000101100000011100010000111010000011010000000000010000000001101000010000011011010000000000000010000000111000110000010111001000000000000010000000111000000010010110101000000000000010001000111000000110010011101101", + "INITVAL_2A": "00001110000000010111000010000000000010100000001110000000010100011010000000000010000110001110000000010000111010000000000000010110001011000110000010111010000000000000010110000111000000000010101010110100000000000000100000010001100011000100110100000000000000100000000011100010100100110000100000000000100000000011100001100100", + "INITVAL_2B": "00000000000010100001000110001100010011010000000000000010110000001110001010010011000010000000000010110000001110000010000000011010000000000010000110001110000110010000001001100100000000000001000000011100000011001000110100000000000001000000011100000011001000110100000000000000100000010001100011000100110100000000000000100100", + "INITVAL_2C": "00000000000000101101000100000000000101100001000000000001010000011100100010000000000001011010000000000000010100101100100000000000000001001001000101000000000001000000011100100000000000001011010000000000000010000110100000000000000010101010000000000000100010111000000000000100110100000000000001000100011100000011001000110100", + "INITVAL_2D": "00000000011001011110000000100000000000100000000010000010001000001110001010010011000010000000000110000000000000000110000000011100000000110000000111010000110101110000000100001000010000001111000010000100000101110000010000110000100000000000100000001111000010000000000000010110010000000000000100100110000000000100001000001101", + "INITVAL_2E": "00010001110011100101000000000100100110000000000101000001010000001111000010100111000000100000110000110001001101101001110000000001001000011100000010001010110111000001100000000100000000011100011000111110000111001010000000100000100011100001100100011000001000001000000000000100000100000001010001101001101000000000000011000000", + "INITVAL_2F": "00000000010001110011000100001000111000010000010100101111011100001101000010000000000011011100000100110000101011000110111100000000000000010010000000001100011000000000000000000000001000000000100001100110000001010010100010000000111110101100111000000000101010111000000000000100110111000001100000000101001100000000001001000010", + "INITVAL_30": "00011111000010000100000010111000000011010000110000000101100000010000100000000000000010100000000001000000011000101100000100000000011000001100000001000000100110100000100100001100010000000000001000111110000001100010100000000001101000001001011000001110000000000000000000100100100001100000000001000000010000000100111000110000", + "INITVAL_31": "00001101011011100101000000000000000000000000000011000000001100001000000011001101000100110010000000000000010000000001101000000000000001000010000011000110010010010001010000000001011100000110100011110000000001001100000001100000000110100000000100011000000001000011000000001000000000000001000011000011010000000000000001000010", + "INITVAL_32": "00001010100011100000000000000000000010110000100000000001100000000001001010001001000000001100100000000000001011000010000100000110000001111010000000000100000100010001010101000111100000000110100000000101000100000010110100000000001000000001110100000000000000100000000000000000000011100001000000000000001000011010000000100000", + "INITVAL_33": "00000010100000000010000000011010000000000000010000000001101000010111010010101000000000000000000001010001111011000111100000010110100000000000000001000000000000000000000111001010001100000100000000000001000100001100101011100001000000000010001100001010101011100011000000011000001100000000000110100000000000000000000000110011", + "INITVAL_34": "00000000000000010011000100000000000000000001110000000100000000000000000001011110000010000000010001110000011000101001100000011000101011000000000000110100001011000000111100100110000000000000001010000000000010001110111000110000000001101000000100001110100000110000000101101000110000110001000000000011010000000000000000000000", + "INITVAL_35": "00001001110000000000000001100010101011010000011100000000001100000100010000010001000000100000000000100001100011000101100000000010000000000001000011010000000011010001000011000000000100010100000000000000000100100000001110000001100011101100000000010001101010010000000000100100110000000000000100001000000000001100000000000000", + "INITVAL_36": "00000001011010000101000110010000100000000000011010000110001100000001100000010101000101011000010000000000000000000000010000011110100000000100000000000000011110100000000000000001110000000000000010010011000001110010101010100001110000000000000000000100000011110000000000101100100001000000000000001000001000000100000000000000", + "INITVAL_37": "00000100000000000011000000100000000000000001100001100110101000011110000000110000000000000010001110000000010000000000000000000011000000001100000000011100110001100000010011000010000000001000111001100110000000111100000100100000101000000011010000000000000000000000000000000000000110000000001101101001100000000101111001110011", + "INITVAL_38": "00000010110001000001000011001000001001100000100000101000000000001000110010100001000110000000111000000000101101000010000000000000000000000000000000000000011001000000000000000001110000000000001000000001000101001000101101000000100010000000110000000100100001100010000110000010000001000000000000000000001000000010001010011100", + "INITVAL_39": "00000001011010000000000100101100111110000000100001001000011000001010000000111001000010010000101101000000011101100100011000010110100000111011000100100000000000000000110000000000110100001000100010010111000111110000110000000001000010100001101100010101010010000111000010101100011000000000110011100000011000001110000001100100", + "INITVAL_3A": "00001100000000011001000001011000001000000000001111100100000000000001010001100110000001111010100110000000100110001011011000011000110010000000000000100000110011000000100011000100101000001100100001101110000010000100011110000001010010101000010100000111110011111011000010110110100000010000111000000000100000000000000011011100", + "INITVAL_3B": "00000001000001111100000000011010000000000000000000101000000000000001100000001011000100110000100000000000000000000001010000000000011011001001000100110000000000010000000000001011101000000000000000000011000001100000000000100000000000100110011000011000010000000001000001101000000000000000110001100100000000000000110000000110", + "INITVAL_3C": "00000001000000000000000000000000011110000001011100000000000000000000011011000100000000111000000000000000000000101111010000000000000000000101000011100010100010000000110100000000000000000000000001011010000001100000010000000000100000000000010100000110011000100000000000000100110101010001001111000011100000000011111001000000", + "INITVAL_3D": "00000000000000000011000011101100000001100001101000000000001100000001111011101100000011010000100000010001000000000000000000000000100000000000000000000000001111100000010110000000000000000000000000100000000000000000000000010000011100000110000000000000000000000001000000000000000000000000000101101001001100000000000000000000", + "INITVAL_3E": "00000100011011110001000001101110001110000001010101101010001100011100010000011010000100110010000011010000101010100000000000000110000000000001000101010010010000000000000000000000001000001010111010100000000000000000000000010000010100100101000000000000000000000000000100111010101000000000000000000000000100000111001001000000", + "INITVAL_3F": "00000001000000000010000000111000100001000000010000100100100000001100010000011100000100001110001000010000100100000100001000000010000010000100000001000000001000000000000000000000000000010000000000000000000000000100000111110000101011101011010000011000101001101000000101010100011111010000000000000111100000000000000001101100", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9570 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9730 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.3.1.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00010000001000100000000010010000000100100000000000001000000000000100000000001000000000000100000000000001000000000010000000000001000000000010000000001000100000010000010000000100100000000010010000000100000100000010001000000000100100000001001000000000000010000000000001000000000010000000000001000000000000010000000000100000", + "INITVAL_01": "00000000111001011000000010000010000000000001100001000111000000010101101000001001000000110010010001000000001001101000010000010000001000100000000010010000000100100000000000001000000000000100000000001000000000000100000000000001000000000010000000000001000000000010000000001000100000010000010000000100100000000010010000000100", + "INITVAL_02": "00011000011011001111000101001000110110110000101100000001100000011000101000100000000011011000000001110000000000001000000100000011000000000000000001011000000000010001000001000110001100010011100011011001000111101000111001000000001100101111010000010001101000100001000110100100100101110001110000000011001000001101110000001011", + "INITVAL_03": "00001100011011001110000100000010101110110001111110000000110000010000100000011111000100011010101010000000000000100000000000010000000000001100000010100000011011010000100101101001010100000101110001001100000111111100110000100001100100001100100100000000011000010000000000100010010000010001010001000110100000010010100000000000", + "INITVAL_04": "00001100000000001000000100000100011101110000110100000001010100001000110001000001000101000100001100100001000101000000001000000000001000101000000000010000001001110000000001001100100000011011100000110100000010110010100000110000000100000100101000010101100010011111000011110010011001100000111110000100111100001111100000101001", + "INITVAL_05": "00010010000000010101000001010110101100110000001000000000010100010011010001010000000100001110000110010001010000100000010000001111110000000101000110000010001000000000000110000010000100011100010000110100000100001010100000110001010000000001000000001101001010100000000001001100011111100000110011001110000000001000000011000010", + "INITVAL_06": "00000000000000000100000111000100110001010001010001100100100100000100011000010100000100100010101100100000011001100100100000011000000000011110000011110000010000110000001000100000100100010001000001000000000111011000110001000000001001000010000100000001010000001000000000000000000100010000100000000000001000010100101000100110", + "INITVAL_07": "00000000000000000000000100000000000010000001100100000010001100000000001010000101000010010000110011000001011101100100000100010100000010001100000010100100000100110000000011101000000100001101111011010100000000100010000001010001010000000000101000001110101000100000000100000100001100010000000001000001001000000100001000110100", + "INITVAL_08": "00010001110000010000000101111010111001110000011000101100001000011110111010011000000111001110001100100001100111000001001000000011100010100111000000010000011101000000100010101010000000000001110010000100000000100000000011000001000000000000011000011100000000001100000001000110000110000000000110000100000000011000011011100000", + "INITVAL_09": "00001110100000100011000000000010010000100000001000000101000000010100100000001101000110000000000000100000000000000000011000001011110000011100000010010100000100100000001001001001010100000100000001100000000111000000110100110000010011000000000000000001001000000101000001011100000011000000000011101001000000000100100001000011", + "INITVAL_0A": "00000010010000000001000100100000011111000001100010101011011000010000000000101110000000000000000000010001011010000000000000000000001000010000000000100110000010000001011010101000100000010001011010000111000110000100100101000000100111001000100100010100000000000001000101100000010010000000001001100110110000000100001010110000", + "INITVAL_0B": "00001101001011110100000110100010010000010000100000100100000100001000001000000000000001000110010001110000101011101000001000000111101001111100000111100000000111100001010111100000000000001010000000000110000000010000111100000000100011101000001000011110000000110101000011000100000000000000000100001101100000001001101010110011", + "INITVAL_0C": "00011000000010010100000111110010110000000000000011001111101100000001000010011000000100011100011000100000000110101001000000000000000000100011000000101000000000010000000000000100110100000000010010000000000000010000110110100001011111100111000000000101101011001101000110000100110001110001100111101011111000011101100000001110", + "INITVAL_0D": "00000000011010000101000100011010011011010000111001101000010000001000101000110000000010001000001100110000000000001100100000000111001010010000000011000110100001000001100010000011100000011000100001111000000100000100111010000000000000000110000100000111001000101110000100000000000001000001010000001011100100000110100000000100", + "INITVAL_0E": "00000011011010011110000100001000000100000000111000001000110100010100000001000110000010001110000010010001000100000111000000000100000000011100000000000010101000000000000001001000010000001010000010000001000001101000000000000000000010000100010000000000010011101000000000000110000110000001111000000000010000001000010011101000", + "INITVAL_0F": "00000000010000011000000101000010110010100000001110000000000100010000000000011000000100000010110010000000001110100111010000011011110010000000000000000100001110110001000010101100000100010000000000010000000100100110011110100000000000001110011000001110000000110111000100000000001000010000010111101010000000000001101010001100", + "INITVAL_10": "00000000000000101100000010110100000000000000011010000000000000000000000000000000000000101100011100000000000000100101100000001000000000000000000000001110101000000000000000100010100000000100001011010000000000011110000000100000101000000110000000011011100011000000000000100100100001110000100000000100101000000000100000100000", + "INITVAL_11": "00011000001000000000000001000000100110000000000000000011011100011101011000000100000000000000000000000000001000000000000000001111101000011001000000011000001000000001111100100000000000001110000010010000000000000000001111100001000000000111110000001110000000000000000000000110100111110001000000000010000000000011000000000000", + "INITVAL_12": "00000011110010001000000100000100000000100000000000001110001100000010001011100000000000000000010001010000001001000110000000001000000000011011000011101000000000000000000010001000000000000000001011101001000000000000010000000000000001101000000000001100000000011001000000010000001100110001001011001110100000000000000000000111", + "INITVAL_13": "00000011110000000001000111100000000000000000001000000001100000011000000000100000000100000100000001110000110011000000010100000011010000000001000000000110100011100001111000000000000000000111110000000000000000101110000101000000010000100110000100010000000011000000000000100000000000000000000001000010100000011001000001000001", + "INITVAL_14": "00001110000000000001000001101100100100000001011000001000000000001000010000000000000000001110110100010000000010000000000000000001001011000001000000000010100011100000001000000001110000011100001000000001000110011100000100000000001100001111000000000100000011000010000101110110000011110000000000100011000100011111000011110011", + "INITVAL_15": "00011100001000111000000010010000000000100001000000001011000000000000110000000000000000000010111010000000000000001100011000010000000000001100000000011000000000000000000000000000000000000010000001000111000000011100101101100000101100001100011100000111000000001001000110100000110111010001000000101110000000000110000000000110", + "INITVAL_16": "00000000000011011001000101011000011000010000110100000100000000000000010010010110000110110000111100010001101101101010101100000001000000000000000010001110010000000000001011001000000000011011000001101000000011100000000000000000000000101101000000000001000001000010000000000000100000000001000100000100001000000010011010011000", + "INITVAL_17": "00000001100000100000000000110000000000100000000011101110000100000000110000010000000111010000010101110000011110001101001100010100111010011000000000010110111000110000010100000111000000000000000000110000000000000000000000110000000110101000011100011101001000001100000000101110000001100001110011000000000100011100001001100111", + "INITVAL_18": "00000000000001100000000000000000000100000000100000101000000000011010000010011000000100110110100011010000110000100000010000000000010001010110000101111010100001000000001101000001010000011101001011110111000000100100111110000001100000000000000000010111000001011100000001001110010010010000100010001011100100010101111011100000", + "INITVAL_19": "00000000000000001010000000010000100000010000000100101011011000001100001001101000000110011100000000100001100000100100000000000101001001010110000100101000000100100000011010000000000000011100001010100011000110000010100110100000101100000101000000011011100001011010000000111000000011110000011010100000000000000111000000010010", + "INITVAL_1A": "00000010011000011000000111110100000000000000000000000010111000001100000010011100000101000000001110000000100100000000000100011000000000110111000000000000000111110000101110001011101100011000100000000100000110100000000010010000001110001100000000001010111010001100000111001100000000000000000000000001101100001000000000101000", + "INITVAL_1B": "00000100000000000110000110011100010111000000000000000000000000010100000000000000000111110010101100110001000001101011110000001011011000110010000011001000010101000001010000001000001000001010111000000000000000000010010011000000000100000000000000000010011010111111000010100000000000000000101110001010000100000110001011001110", + "INITVAL_1C": "00000000011001111010000000000000010000110001110001000100000000010000000001001000000000100000000000000000000111000110011000000010000000001000000000000000000000000000010000100001000000010000010001000000000100000000000000000000100001100011000000000000000000000000000010000100111011100000001111100100000000000000000010000010", + "INITVAL_1D": "00000000000010000000000000000000000100000000000000000000110100010000100000100110000011001000000010000000001001000000010000000000000010000000000000000010001000000000000100000000100000000000001010011000000000000000000100000000000000000000100100010000100000100110000010001000100000000000000001000111000000000000000000000000", + "INITVAL_1E": "00000000000000101000000011000000100111000000000011100011100100011001111001000001000001010000000000000000000000101100001100000000100011100011000000000010000011110000101100001000000000001001100000000000000000000000100100000000010000000000010000000000000000000000000000001000100000000000010000000010000000000000111001100001", + "INITVAL_1F": "00010100000001100011000000111000001000110000111110000010000100010001101000000001000001010110111000000000000110000110000000000000100011100011000101000000000000000000011010000010010000011000101011001001000101000000001011100001100101101001000000000100110000111001000111010110010001100001101100000110110100010000110000000000", + "INITVAL_20": "00000000010000000111000010000000111100010000011100001110000000010011100001100000000111100000110001010000000011000000101100000000001000000011000100000000110111100000111110000100001000001111110001101111000000000010000000010000001101101110001100001100000000110001000100000000001100010001000100101000000000000000010000010110", + "INITVAL_21": "00001100011000101011000110101000001011000000000000000100101000010111000000011000000100000000100111000001100100001001110100010001001011000110000000110000010000010000101011100101001100010000100001001000000000010010110001000000010010000101111000000000001000111000000110000100000100000000000000101000101000000001000000010110", + "INITVAL_22": "00001110001010001000000011110000101010100001011101100100000100010101010010101101000101000000000001110001010001000111000000001000011001110000000001110010000000000000010001100001101100010100000010000101000100001010101000010000000000000101000000000010110010000000000000100000101101010001001010000101000000000101100010000100", + "INITVAL_23": "00010001001011010111000000000110100100000000000001000011000100010111010000001001000011000010011010000000100000000001000000000000101010100000000000001000001011010000110010100001000000000001011000100001000000110000110010100001111010100000101100000000000000010010000101011100000001100000010000000010011100000000010001110011", + "INITVAL_24": "00010010000000110100000000000000100010000001000100001100001000000100010010001100000111001000010000100000100000100111100000010110001010001111000000000010000100100001000000001001100000010000110000100000000010000100110000000001101101000010001000001001100010000100000000000010110000000000100001001001110100001000000000000110", + "INITVAL_25": "00000000001010100000000000001000011001000000100011100001000100010011000001100111000001101010010111010001100001000101111000001101000000010001000000010000000000010000000000000001100100001101000010010100000001001110001000010000000000001011000000000010010010000000000010001010101000000000010010000010110000001100011001110100", + "INITVAL_26": "00000011000000011000000101011000110011100001011101001000000000001101100000110101000010101110100010110001010110000001011100001000000000000100000000010000001000000000000001001100000100011111000001000001000010100000100010000000000000000000000100010111001010000100000100110000010011010000000100001111110000000100100001100000", + "INITVAL_27": "00000100011000000001000001110010000100100001001000100011100000000011100011100001000110010100000000000000100111000001000100010000000000001010000000000100011001110000101100100110000000000101101001010001000101100000000101000000000101000000000000000100110001110101000110101100010000000000000000101010101000010110000000000000", + "INITVAL_28": "00000001000000000100000000110000000000000000001000001010010100000111100000100000000001001010010010100000111100000000000000000000100011100000000000000010011010000000100101100100011000001001000011001000000001100000100011000000111100001100111000010110001010000000000100000110011110100001100010000100101000000010011010000000", + "INITVAL_29": "00000100111001100011000100011000011000110001001110101111001000000111001011000010000101100000001001010000111100000111101100011000100010100100000110000000100000010000111101000100101100001010001010101100000100001100000011010000000101000111010100011000000011000100000000000000100011010001111000101111000100010000000000101000", + "INITVAL_2A": "00000011010000100101000000010010110010000000011010000000011000011011011001101110000100101100010110010000110010101001011100011101001011101011000101010100100101000000010001101111100100011000100010100001000101111110011011000001110011100100011000000100011000010011000100001100111110010001010000001001110000001001000010010100", + "INITVAL_2B": "00010000001010001011000010101000000110100000001001100100001000001100001000011111000010011010000101110001011011001110000100000001110011100010000011101100000110000001100110001110001000001110110000110011000101101000110000010000000100001100000100000000001010100001000110110000000010000000000001100001001100010000100011101110", + "INITVAL_2C": "00011101110010111110000011010000101101000000010011001000001100000010110011011110000110111100110111010001110110101011010000000100011011001111000000101100100001110001111101100100010100010111000010110101000000111010101001100001011100101010001000001010001010111001000101101100101000100000111001100110111100010001100011000111", + "INITVAL_2D": "00011110110011110111000111001110110110010001000000100110100000011101111001101111000011011110111101100001101101000001000100011101111010001011000010000110100111010001010001001101110000001011010010001110000110100110011110110000100110101111001100001000100010100011000011101010000100110001001101100111000000001101001010111110", + "INITVAL_2E": "00001011000000000011000001101100011001110000011010000000000000010000110000000010000110010010110101100000000111100000000000000000011010010001000100000010100000000001011000101011001100000000000000010011000101111110111110110000100000001000010000000011010010110001000100001110010000000000000011100010100000001000110011001111", + "INITVAL_2F": "00000001100000000000000000101100000011110000000000000000110100010100000000101000000000000110101001100000000000000000001100001101010000000001000101101000000000000000000001001111100000000011001001000000000011110000000000000000000000001000011100001111000000000010000110111000011000000000010000000101100000000001100000000000", + "INITVAL_30": "00000011011010000000000110011000001000000000000000000000000000000000000000011100000011000100001111000000000000000000000100000000001000101000000000000000000011100000110100000001110100001101111001100110000000001110011000000001111011100001100000000001000001111100000100000000001000000000111000100000001100001110111000000001", + "INITVAL_31": "00011000010000000000000101100000011111010000011001100000100000000000011011010000000000000000010000110000001011100000101100011110000010011010000000000100000000000000001101001100000000000000111001110110000000000000111011000000001101000000000000011001100000100000000000001110010000000001001100101000010000000000100000000000", + "INITVAL_32": "00001000000000100001000000000100000000010000000100000100001000000010001010001010000000100000101111000000101000000000000000010101100001111010000000000000000100000000000010100110000000010000100000011100000110000010100000010000000001101010000000001011111000011100000000000010100000010001101111101110011100010100000000101100", + "INITVAL_33": "00001110001010010000000010100000100000110000001000100010100000010000001000011110000001000000010000000001010110000111010100000011101000000001000001001110100000000000110001000011000000000000000011000110000100000000000000100000011100000000100000000011100000010010000000000110100000010000111110001000000000011000100011100010", + "INITVAL_34": "00010101000010101110000011101100001100000001000100000110011100000000111001000111000011111100000101000000000000100000101000011110001011110100000111100000011110000001100010000011110000000000000000000000000010111110010011110000000000000010011100000001000001010000000001110110110000100000011011101101101000011000100001100010", + "INITVAL_35": "00000000000000000000000000001110101000000000000000000000000000000010001000001011000010101010000000000000000000000111100000001000000000000110000110010000010110000000010001100000000000000000000000000000000000111100001111000001001011101110000100001000000010011100000001000010011110000000011101101100010100001110100000101011", + "INITVAL_36": "00010001101001011100000011000000011111110000101100001011010000001000000011110000000100000000101100000001011010000000100100011110101010001011000010000000000000010000000000000110110000000001000000101111000010000100000000000000000010100000100000000000001011100101000100000000000000000000000100001000010100010101010010000000", + "INITVAL_37": "00000010101010000100000000001000001100000001001100000001000000000000000000010000000101111110111111000000001001000101100000010010000010001000000010100000000100000000000000001000010100010011000000010000000000111110010101110001100010000111011000000000101001110001000000110100001111000000000101000000110100000000011011010101", + "INITVAL_38": "00000001100011101001000010011100000001110001100011000010000100000001000000010010000011010000011101000000010001000001000000001111100000101110000000000000010100010001001000000000010100000000110001100100000000000000010101010001011010100010110000000000000011001001000101000000000011110001100001000000100000000000000000000011", + "INITVAL_39": "00001111111010000101000100110000000000000000000001101011011000011101000000010111000000000010000110010000000001000110000100010010100010000000000000000000001100110000011000100000001000011001101001001100000000000000000000010001011100100010100000000000000000000001000101000000100011010000000101000000111000011000000010011010", + "INITVAL_3A": "00000101110000000110000011100000000100000001010111001000000000000000000000011100000000010100100000000000001001101100000000000000000001100111000000000010011000010000001100000000000100010011100000001011000000100000001101000000000111001100000000001111010011000100000001000000000111100001011000100001101000000010000010001100", + "INITVAL_3B": "00001111111011111011000101110000100000000000010100000110000000010100011011011000000000001010000110000001110011000001000000000000000000000000000000001100001101110000000100000001000000000010000000000000000010100000000000010000011000100100000000001001000000100110000000000110010001010001111111101010100100001001110000101110", + "INITVAL_3C": "00010101011000001001000110100000110001110001000000100110000000001011010000000110000110000010011100000000000000000000001100001110011000001000000001000000010111110000111001100001101100011001000001011000000100100110101000000000000000001011000000000100001001101110000011000110111100010000100010100001100000001100000000000000", + "INITVAL_3D": "00000000010000010000000101001100110100000001001000000000000000000000010000001000000101000000000111000000000000100000101100001110110010011011000110011110101111100001000000001011001100000110000000100010000000110010010001000001100000000000000000000000000000011001000100100100100100010000010000000010110000000000100001101010", + "INITVAL_3E": "00010010100000010110000000010000000110000001100000101101000000000000001010001101000110100000110110100000100000100111011000000001111010000010000000000010000011000001100000100111010000000000001000000011000000001010011001000000011011100000010000011000000000000000000000100000010001010000000001100000010000000101100011100011", + "INITVAL_3F": "00000000010010111010000100000100000001100001000000001000000000000000110000100011000000100000000101100000000001000000000000011000100000101100000110000010110000000000010011100100000000000000010000000010000010011100000011100000000011000000111000000000010010101010000001110000001000000000000000000000000100000011100010000000", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9536 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9724 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.3.2.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00011110000000101000000011000100110101000000010110000111111100000000010010000101000000000110101000000001100000000000000100001101101000100000000010110100000101000000001010100000110000011111000000100111000000000000100000100001101000000010001000000000001000000010000101010000011000000000000000000001000100000001100001110110", + "INITVAL_01": "00001000000000100001000011110000010110110000100101000000000000010000000000000000000101011100110010100000001011001001001000000010111000000000000100000000000001000000000010101000000100000001000001111110000010101000111001010000000011001100101000010001100010101000000000001110110000000001011101001010001100010111110001101000", + "INITVAL_02": "00000001100000000001000011110000010110000000110011100001100100000101001000011000000010110110001000110000000011001101010000010000101011100000000001111010010101010000100000001100000000001101000001000000000000000000101000000000101111000000000000000000011000111000000001100000000100000001100000100110000000010000001001011000", + "INITVAL_03": "00000000010000011010000110000010000010000000100100000001100000000101101010000111000100001000001100000000100000000110001000000010100001000111000110000100010000000000000001000111000000000000000000011000000001000110010000000000000011000000000000001111000001101000000000000100011010110001000001000101011000000010011010100000", + "INITVAL_04": "00001000001000000000000000000000000111000000000001001000001000001100000000000000000010100000001100100000100010101000000000001000010010100001000100000000000001000000010101101010000000000000000000000110000100000110010010000000001010000011000100001101000001000011000000010110111000100001000000000000000000010000100011110001", + "INITVAL_05": "00001100000001100110000001110110110000100000000000000101111100000100001010001110000000011000011000010001000001100110100000000000001000001100000000011000011000010001000100000100001000011010000000000101000000000000000111100000100011000010000100000011110001110000000110000000010010000001010100000001100000000000010000010111", + "INITVAL_06": "00001001111010111011000110111100001100000000010001100000110000011000110000000100000101100010110001100000010000000000101100001111010011010010000000000000000100000000000010001110100100011000010010010001000100101010100001000000110101100001111100010111101011100011000101101110000100110001101000000010111100001000011010101100", + "INITVAL_07": "00000010000000110001000000000100001101000001111000001011000000001101111000000001000000000000001111000000100000001100001100010000001001110110000111111000001000010001111110000100111000010011000010011101000101111000011011110000001110100110101000000000000000010011000101011100011101100001110010100100000100011011011011010100", + "INITVAL_08": "00011111000011110000000000100000110001100000101011101000000000000000010001110000000110101100101011000000001101000000011000011101001000100011000000001110101110000000001001001000100100011011011011011010000101110000100000010001000010100001001100010000000000000000000001000000000010010001100000000111100100011000000000000101", + "INITVAL_09": "00010111101000010001000100110000001010000000000111101100101000000001110001111000000110100010100010010000101100001110000000000011011010001100000010000000001110110001100000000001100000000000011010000000000010011100100001010000000111001000000100010001000001000010000001100010001110110000001100001001010100000111100000111011", + "INITVAL_0A": "00000010001000111011000101100110010000000001111111100001110000000000010001111001000001111100111000110000000110001100110000011100010001000000000111011110000000000000111000000110000000000100011000000000000111000010011010000000000010000000000100011000101000000011000000001100000000000000000101101100000000011111101000000000", + "INITVAL_0B": "00001001010001100111000011001100000000110000100011000011000000000000000010100000000000011000111001110001000000101000100100011000011001100000000000110110100000010000000000000010100000001001110010100001000100010010001110110000010000100001001100010000010000100101000000010010110100100000010001100011110100001000100011010000", + "INITVAL_0C": "00000110111000000000000000000000001000000000111111001000000000011100000010011101000010001100000010000000001010000011000000010011100001100111000001100000100011000000111001101001100000010000111000001011000110011000001000110000001110000010001100000110011001001100000010100110111101110001000000101100000100000111000001100000", + "INITVAL_0D": "00000110010000011111000001100000000001000000010000001111111000010000000011111101000000000000000000000000000010000010000000000100010000111011000001000000001110110000100000000001110100010000000000100010000001101110101000000000011010000111100100011000000000011100000111010000000000000000000010000000100000010001101011010000", + "INITVAL_0E": "00001100110010000011000001100000001100110000100000000001100100000000000000000000000000000000011010000000010100000101101000000010110010000010000001100000010011010001110101001001111000000111001000001000000000000000100000100000010000001001000000001100010000111000000110101100001000110001000110001110000100001010000000000100", + "INITVAL_0F": "00011001100000111001000000011100001101110000000110000100000100000110001010001110000010000010000010010001100011100001100100001000100001110010000000010000111100010001101000000000101100000100001011111110000000011010000000000001111000000001000100000010110000001110000001100000101100100000000000000000000100001101000000000001", + "INITVAL_10": "00000000011001010100000110100000011101100001111101100100110100010111011001101001000010000000010101100000010000100001110000011000111000100001000100000100000000000001000000000010011100000011001011000010000111000110000000000001110010000001000000000001110000000011000100000000111000000000001100000000010000000010000010011000", + "INITVAL_11": "00000101101000101011000010010110000100000000001101000011000000000001110000001101000001110010101110000000001001000010110100011010101001000100000110000110100000000001011001000010000000000000100000000100000111000000001111100001000000000011110100010110100000010000000100101010001011010000100111100110100000000000110000000011", + "INITVAL_12": "00001001000000111000000010101000000000110000001100001000001000000001000000001000000011000110100110000001100001000001001100010001110000010100000000110000110010100000011100000101000000000001111010110100000001000000000101100001010011100000100000011100110000110001000100000000010000000000000111100110100100010111011001000000", + "INITVAL_13": "00001100000010011000000110011000001110010001101110000111000000010101000001000111000000110010100101100000010000100000010000001001101001010000000000001100000001010000001110000000001000000100001011000010000010000110100100000001110111000011100000001001100010000000000000111000111000000000011101000000001000001100001000110101", + "INITVAL_14": "00000010111010000100000001110000010001100000000101100000000100010010011000100011000110000000110001000000000000001011110100000000000001110001000110011000000110110001100000000000000000010100101000100101000010100010110000100001000000001001100000011000100000010011000010000000010100110001001100100100111100000111000001010100", + "INITVAL_15": "00000000011001000000000000010000001000000000000110100100101100010000100000000001000111100110000110000000100000000011110000000000111000001000000100010000010001100001000000101101000000000000000000010011000100001000011010000000000011100000000000010011000000011010000100101110110000010000000000000000100100000011001010011111", + "INITVAL_16": "00010111100000100001000101001100110100000000110100001101110000001011010000010000000000001100011100000000001101101000001100011010110001100000000001010100111000000001000000000010000100000110011000000000000000010000000000000000011101000000000000001110110011010001000011010000000001010001010100101101000000000001000000011000", + "INITVAL_17": "00000101100001100111000000000010011010000000100111000010001100010000100000000111000000110000010000000000110001101000010000001000110000000000000110011100011100010001011100001010111000000011011010001010000110100010100111110000000010000110000000000100000000101000000110100000000000000000000100001001010100010100100000011001", + "INITVAL_18": "00000011000000000001000000110100001011000000100100000100110000000010001010011100000001001100000010000001100110000011011100000011100001100001000001100000110100000000111011101001110000011000011001010000000110000000001000010001011100001110100000000100001001000000000000000000000111010001100011100001100000010000000000010001", + "INITVAL_19": "00000110000000000010000001000000011010000000100000101001000000011100001000101101000000100000010000000000100010100000010100000000000000010100000000001000000001100000101000000001000000000000001001000001000001000000010001010000000000101000000000000010100010011100000101000000100000110001001010100000000000001010000001101000", + "INITVAL_1A": "00000010000011101000000101101010011010100001011011000000010000000010110010100000000001010000111000000000001010000010010000011101010011111101000010111110000100110001011111000010010100010000000000010100000000000010100011010001000011001111000000000100110000000000000010010100100101100000100010100001100100000001010000000110", + "INITVAL_1B": "00001000010000111000000110001000111010000001011100000000100000001000001010110110000000001000001010000001101001001001010100001101011000010010000001010010000110010000011010000001000000000010011000000110000100000000000001010000011000000001010100010000001011000000000010101000000000000000001100000000100000000000000000000010", + "INITVAL_1C": "00001110101001111110000111101010110101110001000100000100101000001010001001000010000000110110000011100000000000000110000000010000000001111000000000000000010100010000000100000000100000001110001011000101000101000000010011000000001000000010000100001010100000100110000011000010000110000000101001000001001100000010110010100010", + "INITVAL_1D": "00000000000000000000000000000000000000000000000000100100111100010000000011111011000010000010010001100000000000100001111000000000000010101101000000010100110101100000111001000000000000000110111011000100000101010000000001100001110011000100000000010100000001110011000110000000110100010001010011100110110000010011101000010001", + "INITVAL_1E": "00000000111000000000000001111100110101010001001000000101100100000000000000001101000010010010000001010001000100000100011000010001100001000010000010101110000110100001100000101110110100010000100001101000000101100000001111010001001101101011100000001111000011001100000111000000000000000000011010000000000000000000000000000000", + "INITVAL_1F": "00000100000000000000000000010100000001010000001010000000100000000000101001000000000001010100000100010000101000001100010000000011011001010001000000001110100011010001110001101100000100010110101011110000000000000110110110100000111110000101100100000011011010011000000001101100000010110000000000101000000000000000010000100000", + "INITVAL_20": "00000111000001000100000000100100000111100000001000000100111000000001000000001010000001000010000001100000001000000011110000000111001010100010000000100000000100000000110011100000000100001100000010100000000000101000000000010000101011001000000000010011100000011000000000000110000000000000000000100110000100001011011001100000", + "INITVAL_21": "00000101101010000000000011100000000011000000000000101000000000000000011000000000000001000010000000010000000100100000100000000100111000000110000001101100001000010000001011001100000000000111000001000110000000001100000000000000000100000100100100000110001010001000000010000010111000000001111100000010001000001111010011000000", + "INITVAL_22": "00011000000000011000000000001000000000010001110100000000000000000011000010000100000001000110000000010000000000000001100000001000000011010000000000000010010000000000100001000010000000000000111010000001000000010010000011100001000100000100000100000000000001000010000010000010001000110000000100000010011100000111100001000110", + "INITVAL_23": "00010111000000100011000101100010000010000000000100000000000000001011011010000111000011100010100001110000100000000001000000000000010001100000000010101100101010100001101000000000010000000000010000100000000010001000000001000000000000000010000100000001000001001001000110000010110000010001100000000001010000011101100000011001", + "INITVAL_24": "00010100111000001000000000111000010000100000000111000000000100010000001000000000000001111000000000010001000010100101110000000010100000010000000001100110000000000000000110000100111000000010000010000000000000100000000000000000001111101000000000000000100000100000000111010000000010000000000110000010000000001100011000001010", + "INITVAL_25": "00001111011000000100000000110110000111010000001101100000100100000000110010010000000011010010001101000001101001100100010000000000010001000100000000000010001011100000011000101000000000000100100010010110000011100000000000000000000000000010010100000001100000000100000000010000001100000001000110101000000100010000001010000100", + "INITVAL_26": "00001000100010110001000101011000011010100000001001001100011000010100001010101100000010010100000010100001100001001010000000001101000011011111000110000000000010110001000011100100000000000110010000011000000110101110000000010001000000001000100000001001111000010001000100100010011100010001000010100101010000000000001000001100", + "INITVAL_27": "00000010000000000000000000001000001011100000001100000101111100011000111000101100000110100000000001000000000010001111000000010001110000000111000000010110001100000000000001000000011000000011000000100011000100000000010000010001000000000101000000000000000000100000000001000000000100000001000000000010010000000001001000011010", + "INITVAL_28": "00011101101000000000000001001110011110110000000101100010100000010110100000001000000000000110110100010000110100000000001000000010010001111100000101010010010001110000110101001101110100010111000000000001000000101000000000000000110100000001010000000110000000011000000011000110000000100000111100000100000000000011000011000000", + "INITVAL_29": "00000000000000001010000101100010000111000001100001100011000000000000110000001010000010110010110000000000000000001100110000001010000000110101000100010000110110000000010110000001111100010100000000001111000001011010100000000000001101000011010100000101000001001011000000100010011111000000110000000010100000000011011010101011", + "INITVAL_2A": "00000000000001100011000000101010110111100001000010101010000000000011000000000100000011001100000111000001001110001000000000000000000000011011000100001000000001100001011011101011010000000000001000000000000010011010100001110000000000001000000000000010011001110100000100011110111000010000111000000000010000011000000001000000", + "INITVAL_2B": "00010000000011100000000001100000000000000000000000000110010000010101000000000000000001100000100001010000110101000100100100000001011001001100000000001100100111000000000000000000101000001000000011100000000011010100101000110001100011101000001100001100000001011010000000000010100111110000100000000001011000000000000011000000", + "INITVAL_2C": "00000010000011101110000000000010111000000000010110100111000100010111001000111110000111001100111011110001001111001010101000000000000000100000000100000000001000010000000011100001011000000111101001110011000101001010100000110001001101000010000100000000000010001100000110000000000000000001010000000001000000001100000011000010", + "INITVAL_2D": "00000000101001101101000101101110000000000001000110001101011100000000011010010000000100101110010101110000101100000000001100000000011001001110000111111110100001000001000000001010000000000111000000001001000010000000010100000000110010000010010000010000000001001001000000000110111001100000000101000001100100010000100000100000", + "INITVAL_2E": "00000011011001100000000000010000110001010001010100001100000000011100000000110001000011100000111011000000100001000111001100001000000011010110000101101100100001010001010000100010011000000011010010101111000010110100101001000001111100100111110000001011100010110100000011000000100000110000011010000110000100010101010011111111", + "INITVAL_2F": "00010000100001010001000100001000000110110000100000101110000000000010000001100010000100100110001111000000000001000000110000000100010001100000000001001010010101000001000010000011000000010100000000110110000100010010100000000001010010000110000100001111111000010101000100000100000101110001000110001000001000011001000000001100", + "INITVAL_30": "00010011010010000000000000010000110001000000010000000111001000010000100001101100000000000010111010000000000101100000000100000000010010110011000000001000110001000000101100000000001000001010010000011100000000100000001100000000011010101111010100011010101011010110000000000100001100000000010000100000000100001000010001000000", + "INITVAL_31": "00000100011001110110000100001110010001000000010010000001110000010100001000011001000001011010001000010000110111000010011000000000111000011000000111101000000001010000000010100010000100000011001010010000000001100010000111000001111101000000000000010110000010010110000001100000010001000000010001100111111000000000001000110111", + "INITVAL_32": "00010010100000011011000110101100000000100001000011100111110000010101011011100000000011011100010000000000000001100000001000011010100010000100000101000010110111000000101101000000110100000101011001010001000001001110011010100000101101001001010000000000000001010010000100000110100000110000000100100110001100000000011001000100", + "INITVAL_33": "00011110000001100000000000011010100000000001100111100000000000010001001001000000000000001010000010110000100000101111110100010000001010000000000001100010100000010000001001001011000000001101101000110110000100010110010001000000010110101101001000010101110010000011000011100110011100000000001000001111000100010011011010011011", + "INITVAL_34": "00010011001011010111000100110100000001000000010010000100111000000001110010100010000000100010101101100001000110001000000000010100000001011010000010011100000000100000100100001100000100010111001010000001000011000100110100100001010010100000101000000100100001100000000011000000011100110001000111100000011000010000011001100000", + "INITVAL_35": "00011100001010000000000001000100010000000000100001000111000000000001100000000000000000100000001000010000000001100001011000001001001001111001000101111010111011100001000010000010000000011101000011011100000010000100000100000001001001100001100000001001110001110101000010110010101111010001010000001101000100000001000011011111", + "INITVAL_36": "00000101010010000110000001000100000000000000000000100010000000000010010001110000000101011000000000110000000001000001011000000100101010000000000000000100001110010001100100000011000000000001000011100011000000000010110000100001010001100001000000011101011000000001000000010000011000110001001000001110000000000101000001000000", + "INITVAL_37": "00001110011001111100000010011100101101010000001011001000000000000001101000000110000011010000000011100001000100000100001100011010110000001100000010110000010000000001001110000010001100000100101011000000000001110010110101100000000000000100001000011000100011100010000000011000011110100000000001001110000000000001001001100011", + "INITVAL_38": "00001011010001000110000000001000011000100000000110000111100100000000110011111101000110000000000010110000000011001000100000001000010011001101000111010000000001100000000100101100100100010101000000000000000000000000100111000001001001100011011000010000001000111001000101101000000110100001001001101010111100010111100011011011", + "INITVAL_39": "00011011100011000000000001001100011000000000000000000111011000001001101000000000000001111000000000100000111000000101111000001100011011110010000010010000110000000000010100000100101100010001010000000001000111111110111111100001111000100000101100000000010001110010000001100000010000100000000000001100011000000000010010000000", + "INITVAL_3A": "00000110000000000001000100000000000110000000000100001100011000001011100001100000000001000000000110000000000000000010000100011010000000000000000010000010111100010000110001100000000100010010011000001110000101100000000110010000011000101110110000011000010010101110000000010000101010100001000000000000100000000000000001000000", + "INITVAL_3B": "00000010011000011000000111000000000011100001110001100000000000000000000000000011000000010010110000000000011001101000011100000000110000000000000011010000110011010000100000000000001000000000100001011000000000000000001101100000111111100010010000000000001000000000000001110000010000000000111011000000010000001101110000111000", + "INITVAL_3C": "00000001100000000100000111001100001000000001100110000011001100011101110000010011000111101100111001100000001011001000000000001100011001110000000100010110001100000001000000000001001100010000100011100110000011110010100011000000010001101000010000011000001001111000000000000000011101100001011000001110000100000110001010001110", + "INITVAL_3D": "00000000001011110111000001100000100101110000000000000011000000000010000010000000000001000000000000000001010000100000000100010111000001000000000000011000000000000000000010000000000000000000000000101100000001000100110100000001011110001100011000000110000001001110000000111010110000100000110101100100000000001000000011000010", + "INITVAL_3E": "00011001001000100011000001110000101101010001011000000011000000001001000001010000000100100000011100000001110000001000000000010111110000101010000110101110111001000000110100100000010100000010010000110100000100000110100010110001011110000000000000001100100001101010000100000000000000000000000000000100001100010000100010100000", + "INITVAL_3F": "00010000000010010000000010000000111000010001110000000110111000011000000000100000000001001000001110010000111101000000001000000001000000001000000011000110110110100001110000000000000100000000110011100011000000000110000001110000101010000000111000001001000000010100000100100000000011000000111001101000000100000100100000000011", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9261 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9729 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + }, + "calc_rom.rom.3.3.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": 1, + "DATA_WIDTH_B": 1, + "GSR": "DISABLED", + "INITVAL_00": "00000000001000001000000101011000011000000000011000001111010100001000001010000000000000111000111001010000011011001000000000010000110001010010000010000000000011100001110010000000000000011101101010100110000010101010010010110000000010100000010000000010000000110000000000001000100000000000001110100000011000001010000001111001", + "INITVAL_01": "00010101010001000100000011111000000101000000000000000110000000000000000011000000000000000010100000000000000001100000000000000000110000000000000101010100101010000000001100100101010100001000000000001011000110000010111010000000100000000000010000001000001010010001000000000110000000010001000000000000000000011000000000000011", + "INITVAL_02": "00001010000000110010000000000000000001110001001001101011000100001000000000111000000011110000101100110000100000000100111000011111110000010010000001110000000011000001110000000100110000010000000000000101000000000000000100000001000000000000000000000000000000000010000011000100100110000001110011100000100100000001100001110000", + "INITVAL_03": "00000011100000100010000000100000111010110001111010101000000000000000100011000000000000000000000000000000110101000101100000001000110011100110000001000110010001010000010010000000011000000010011010000100000010000100000111010000101111001011000000000000000010011000000000000000000000000000000110101000100000010000001001000001", + "INITVAL_04": "00000000000010011000000010000100001111100001110011000000000000000000110000010000000000010010001111110000110111000011010100001000001010100001000111000010001000110001000001001000000000000010000001010100000000000000000000110001111001001000000000001111010000101000000000000000010100010001010001001001001000000000011000001111", + "INITVAL_05": "00010000001010011000000000101010110001010001010001000100000000001000000011001110000101010110010001110000110110001100101100001110000010001101000000000010100100000001001010000000000000001100011000000000000001000000100011010000011110000010010000011011100011010110000011110000010111000000100000000010111000000000000000000100", + "INITVAL_06": "00000000010001100011000100001000011000110000011100101100000000001010011010000100000111000010001000100001100011000110000100010010100011100001000001010010110010000000001011100011000000011100010000111000000010011000011100000001000110000110011100000111000000001010000011100000100111000000101111001111101000000000110011100001", + "INITVAL_07": "00000100110000111000000010000000110101010000011010001100000000001000001011000010000111101110000111010000100011100000101000000000000000010010000100011000111010100000011100000101101000001110011000001001000010001110000010000000100010000111000000010010000010100101000001110000010010100000001001100000110000011100111000100010", + "INITVAL_08": "00010000011010000101000111011100001000010001100001000010000000010000100000100011000001110010110001100000010000101000100000000100010000001000000110011100001100010000000110000100011100000111000001001010000001000010100001000000000000100011100100011000010000110001000100111000001000000000101000100100111000000010000000011000", + "INITVAL_09": "00011000010011010010000000010010100011010000101100101000001000000010000010101100000011000100011110100000000111001010101000000010010010010000000001011100010101000000100001000101001000000001000001000010000000100010000001000000010000100000000000000101011000111010000000100000011100000001010110001100011000000110010000000100", + "INITVAL_0A": "00011000001011100001000011010110000011100001110001101000000000000000101000000001000000001100000000110000111000001000001000011000011010001100000010010100010000000000111000101000001000010010111010100000000000000000000000000000110111001010010000000000000000000000000000010010010011100000001001101000010000001000000001011001", + "INITVAL_0B": "00000111100001011000000000000110111011000000000101000000010000000010000000000000000100000000000100110001000010101011101000000000101010111111000000111100111100000001011100001100111000001110001011001010000100001110000111010001010011100000100000000010010000010111000100011000111001110000110100101100011100010011100000001000", + "INITVAL_0C": "00010110100000101111000011111100111011000000010011100001001100010010111001000101000101010100110110100000110011000000000000010011100011111111000000110110001010000001101101000001011000010100000000000100000001110110011001010001111101100010100000011011010010011101000100111010101101000000001101101010001100000000001011011010", + "INITVAL_0D": "00000010101010001000000000011010101110000001111101000100111000001000011000011011000100011110000011010001110101101101101000011000110011100011000111011000011011110000000000101011101100001110110010000101000000011100001110000000101000001101001100010000101000001100000001111010000001100001100010000001001100000000000000110011", + "INITVAL_0E": "00001001010011001000000001111000101101000000001111001000011000010100011001011000000000111100000011000000000010101000101100010001110000110011000011011110111001110000010000000111110100000011100010110001000001111100110010110001110110101001101000011000000000101101000101101100011101100001100001101011011000000000011000100100", + "INITVAL_0F": "00010011100000001001000010100010100011010001101111101010000000000000000000110101000010010000100100000000000000001000011000011011010010100000000110011110000111000000001110000001000100011011100000011100000011000100000000000000010000000010000000000000000000101010000100011010010110010000111000101110001000011111000001011101", + "INITVAL_10": "00001000100010101010000000100010001000000000000000001001111100010010110011010101000110100000010010000001100000001010000000010010101000010010000000000000000000100000000111001101111100010100100000010001000000000100100000000001000111101000000000000001101001010101000011100100000000000000010011001010101000010101010010101010", + "INITVAL_11": "00001011011011111011000000000010000100000000100001001000111100011101000010000011000100000010111010100000000100100000000000011000000011000001000101011110100101110001011101100000111100011010011010010001000101110100011100100000011011100100100100000100010011001001000000000000101000000000001100001010000100000010010010101000", + "INITVAL_12": "00010110101010000111000000001100000100010000000010001110000100001011001011010110000000001010100001010000110000000011110100001000000010000111000011010100100111010001011111100000000000000100000001100000000000010010111100010001101111100111000000011011011010000000000010100110000100000000011000000110110100000001101010000000", + "INITVAL_13": "00011111010001001001000001001010111011000001001110101001001000001111011010000000000001000100001100110001011011001110001100011000000011011000000101000110001011010000110100100100101100000010000010100001000000000000010000000001100111000001000100010001100000111000000100111100000000010001000011100101000000000010010001110000", + "INITVAL_14": "00000001000000010000000000110110000010110001011110001010110000010000010010010010000000110110110000100000010000000111001000010101010010010101000010110100001001110000110111101011000100001011000000111000000110001100010000000000000011100000000000000000000011101010000001101010010011110000001011000011100000011000001000001111", + "INITVAL_15": "00010110110011000110000110110110011001100001010101101011110000011000110010000011000011101110100101010000111101001011010100001110100010010011000010111010011010110000101001101000000000010010001010001100000100110000000000010001011011000011000100001010000000110111000110110000000000000000000000000000000100000000000000000010", + "INITVAL_16": "00010000111001110110000001001010101011010001000010100000101000001110000010100111000010011100111110110001111111001011100100000111010000000000000011011100100111010001101110100000100100000011001010011001000010010010011001000001110110100101010100010011110011011000000010100000001101100001101101100001101100001101101010001101", + "INITVAL_17": "00010100101000101011000000001000011010010000100101001100000100000011010001010010000101100000010001100001001010001010110000000101100010011000000101000000011111100001000010101001011100011110110000111001000101011100111111110000100110100011001100010000101010111001000110010100101001100000111110000001000100011100110000001010", + "INITVAL_18": "00000111001000000010000000000010010011000000111000000010000000001000100001010110000011101100001111110000000001000101000000010100010011001010000101000010010101010000000001100000010000001101001001000100000100101010010000100001010101000000011000000001000011010010000100010010001010100001000010100101010000000001100000010001", + "INITVAL_19": "00000110101000101001000011000000101101010001000011000101101100001001010000010011000100000000001100110001011101100000100100010110100001101100000010000000000011000001010000000000100000010001111001000010000110100010110110100000000100000111011000000010011011100011000111100010111000100000110001101000000100000000000010100110", + "INITVAL_1A": "00011001011001101101000011101100000011100000010011100010010100000100111011110011000100001100010100000001101010101010101100001000110000111010000000110100000100110000000011000000000000000001111010000001000000011100100101100000101001101010001100011111111010101000000110000000011000110000100001000101111000011001001011011001", + "INITVAL_1B": "00011000110010101000000000010110000010000001000101000000001000000100000010001000000001000010101111100001001101000001101000001011000010110110000010011010000000110001110000000100000100001000100011101000000110000000101000000000100001000000011000010011100011100011000000110010000000000000011011000000000000000111000001101101", + "INITVAL_1C": "00011000110000000100000101000010111010010000011100000100000000010000000010111101000001011110000000110000000111001111011000000101001010000111000000010010100111100000001001100011100000011100110001100000000110000100011101110000000110000000100000000111110010000001000101011010001010100001100001000101011000000000000000011000", + "INITVAL_1D": "00010001100001010000000000100100100100000000001110101000001100000000010000001110000000100010101110000000100011100000100100000000000010000000000101001010111000010000000001100000111000011110011010000101000011010010000000010001100111001110010000010000000000101100000010100010110011100001111011000011000100000101000000000001", + "INITVAL_1E": "00001100011010000100000000010010000000110000101110001100001100010000101000000011000000110110110001100000111000001000000100000100000001111010000000110000110101100000000000100110100100000101011010001110000000100110000000010000000000100111100000001000000011000011000101111000111000010000101101000100000000001110011010111001", + "INITVAL_1F": "00001100001010101100000010010110011010100001000111000001000000010110101010100111000001110110100011100000001001000010100000011000110001010000000000101100011100000001001100000010011100000000001011110100000000000000000110000001100000101010000100000000000010000010000000001010001100010001100001000001000100000000100000001010", + "INITVAL_20": "00000111000001001110000010000110100001000000110100100011100000001001110001000011000100011000011010010000011100000100111000010110011010000100000111010100001110000000100111001011001100010000100000101001000001110000010010100001011001101000010000000101001000100000000000011100000100000001000110100010010000001001001011000010", + "INITVAL_21": "00001001000000010000000000001000000000000001110011100110000000001000000001110101000100101100000100000000001100101000100000000111000001000000000011001110001000000001110000100101101000011010010001110000000100111000111000010000101100001100111000000010000000001000000000001110000010010001100100000111000000010001100001101001", + "INITVAL_22": "00001011000011110110000100011000011000010000000100000001010000000010000001010110000000101100100000000000101111000001010000000011100000000000000000000010010100010000100010100100000100000011000000000101000001000000000000000000000100100000011100000010001000110100000000000000000100010000001001000001000000010000000000000111", + "INITVAL_23": "00000101011001111001000110100000111100110001001101100011111000010010100001000000000110000000011100100000000001001100011100010001011000010110000010000000001101100000011111001000001100011000011000000101000101111010011100100000101110100010000000000001100011010001000100011100000001010001110001100010100000000000010000100000", + "INITVAL_24": "00001110000010001000000100000100000100010001100001000010000000010011101000001010000001100110001000010000100101001000010100000010000011000100000000101000001010100000001010001000001000000001000000001111000110000000000110010001000010100010000000010001000000001001000010010010100110000000100000000100010000000000100000011100", + "INITVAL_25": "00011010100001010011000100001010011000000000000000000000010000000000001001000000000101100110000000000000010101100000000000000000000000100001000110011000000101110000001100001100000000000011011010001100000011000000000100000001110000100101100000000000000000000001000000000000010100000000011010100010011100000001000001001010", + "INITVAL_26": "00011000001010000000000111000010010100110001101100000111111000000000111001101001000100110000001010000001100101000000000000000001000001011011000101100000111011000000000000000000010100000100101011011010000011011100100100110001000101001100000000000000001000001000000000010100110001100001000001001000110100000100101000011000", + "INITVAL_27": "00000000101010001111000000101100110100000000000001001010001000010000000010010000000010100010000010100000000101000000001000011110000000001100000111000010011110100001100101001000001100010000101000000001000010010110110011000000110000001010000000001101011000110000000000111100011100000001000010101110111100001111001001010000", + "INITVAL_28": "00000000000000100001000000000000011101000001000000001000000000000100000000001001000000000000000000000000000000100001100100000000100001100110000110000100001111000000101100000011000000000000010000000000000110100010101000000000000000000010111000001000011001001000000011000000000011000000010101000000100100010000100000110000", + "INITVAL_29": "00010000011000000000000001101010001000010000000100100100100000000110100010101111000000001000001110000001111100001011011000010011100000011010000101100100011011010000010010001000010000000011000000000000000001100010000010000000100101000100000100010101101001101000000001000010101000000001100000100000001000000001000000000101", + "INITVAL_2A": "00010110001000000111000001100110100101000000011001101000010100010000111000111001000110100110011100000000001000101010101100001010000010001101000001001000000111010000000010100000010100010000000000010001000100111000011010010000000000000101100000011010100000001011000110000000000000010001101001000100110100010100100000010000", + "INITVAL_2B": "00011000010001000001000110000100110000000000010000001110000100001111010010001100000011000000101000000000110011100000100000001000000001100000000001110110110011100000001011100011100000011100001001111011000100110000111000000000011000100000000000001001001011001110000000101110101110010001000100000000101100011010010000000011", + "INITVAL_2C": "00000000110001100100000000100000000100000000000111001100000000000000001000110011000000100110000011000000110011101101010100011000110000110000000001010000000010100000000001101000001100011001110001101000000100100000111000100001101000100000111000001000010000111000000010001110001010010000001000000100001100001110110010000100", + "INITVAL_2D": "00011110100000100101000000000000100011100000001011100000110000011100001001110000000000011100000101110000000000001111010100001000001011111100000000000000001110100000000110100001001000010010100000001100000000011000100110110000100110101010011000011000101011000000000000010000011001100001010001000010000000000010101000000000", + "INITVAL_2E": "00011011101010110111000011111100000100110001000000101100011100000001110000001100000100100000000011000000111011001010001100001110000000011100000000011110001110000000100001000011011100001101110000010011000100000010111001110001000110000010001100000011100000000001000101110110011110100001101000000111101000000111110011010000", + "INITVAL_2F": "00010000000000000001000000000100001100000000110011000001001000000010101010011001000001001100010010000000000011000001010000011110101000000011000110111110111000110000111000001001110000011101111001100011000100001000110000010000011100001110000100010000001010010010000000000010000000000000001001101110110000010110010011111000", + "INITVAL_30": "00001100100000000000000111000010001100100000000000000101000000000010100010101000000001110100000000100000010010000001110100001100011000011010000110011000100000000000010110001110000000000000000001000000000010000110100100000000000000001001000000000011100000000100000100001010000000000000000010000010000000000000000000100010", + "INITVAL_31": "00010000100011000001000000010000010000000000111000001001100000000100111000000000000000010100010000100000000010001110000100000110000000001100000000001010100001000000100011000010100100010000110001110000000111010000000000000000001110000000000000000000111001010001000000011000011100000001010110000100100000000000001011000010", + "INITVAL_32": "00011001000001110000000101000000111000100000011100100100111000000010100000011100000011001110001010010001100001001000001100010000100000000111000001010010110000100001000001101000000000011100001001000001000100000000100000110000000000001010011100000011010001001100000000100100100111000001110011100000100000001000000000010011", + "INITVAL_33": "00001101101000101010000000001100011100000000000000000010000000001010000001011110000111101110000111010000010011100101000000001001100001100001000001110000111000110000010001101000111000000010100010001001000011000110000110010001100001000010000100010011100000101000000001110000100001100000011000000000010100000000111000011001", + "INITVAL_34": "00001100000000111100000001011110011010100000000000000111001100010011000011100001000001110110000011100000000000001011000100000001111000111001000000010100011100110001000010001110110100001000011011000100000111100110101010000001100010100001001000001001100001100001000000100000011000010000011100000101001000000110011010000100", + "INITVAL_35": "00001110000010110101000000001110000010110000101000000111000000010111101000000111000000010110000100000000111000001011000100000000111000001011000000100000011100000001000000000000000000001000000010001110000000000000000000000000000001000000010100001000110000110001000010001000011100110000001100000100011100000001011011011000", + "INITVAL_36": "00000010011010011101000010000010001100010001000010001110000000000000101011000111000000010000000101000001100010100001110000001001000000010100000000001000000010010000101000000111000000010110101000000111000001000010000000100001100000001010100000010000110000111001000110000100000001100000000010001110000100000000011000000010", + "INITVAL_37": "00001101000010011010000010000000000011000001110000000001100100011001000000000001000000000000010001110000000101100100111000011110101000011100000001000000010100110000101010000111000000000000101000100000000001010010110000100001000000000001010000011100001000110011000110111000000100110001001110001010011100001101000011011010", + "INITVAL_38": "00010011100000100001000000100000100010100001101000001010010000001000110001101001000100001000011100000000011000101100011100000010000010000010000001000110100111000001100111100011100000001000100001010001000001101000111000000000111100000100111000000010011010110101000111010100001110000000100010000101000100000010000001001101", + "INITVAL_39": "00010011010011010110000100001010001000100000011001100100110000000000001010110100000110010010000100000001100111000011000000000011100000100001000011010010100011100000001000100001000000011000111000111010000110110000001100110001001010001110000100001100001010000110000011100000101010000001100001100011100000001011100001100111", + "INITVAL_3A": "00010011001000000001000010101100011100000001001100000000000100001010110001110000000100110000000000010000101011000111000000010011001000000001000010101100011100000001001100001010000000000001010010011101000011001110000000000000101000000010001000010001000011101011000000100110100011100000000001101011010000001001101001101000", + "INITVAL_3B": "00000111000001001110000010001110100111000000000000000000100000000001100010110111000000010000001000000000011100000100100000011000100000001100000111000010001000110001101000000011001100010000000000100110000010000000010101010001001110000010011000001010100000100101000010110010110000100000110000000000100100001010110001110000", + "INITVAL_3C": "00010011100000101110000000110010110000100001100001101010100000011100000000000000000100001000011000100000011110001110000100001010001010001100000010100010100111000001100011000001100100011000010001110101000001111010011001110000110100001001010000001110000010011000000011000000001010000001000000000101000100000001000011000011", + "INITVAL_3D": "00001001110000110110000100001000111000010000101101001000111000000010101000111101000110010010011000010001100001000000010000010000000001100010000001110000010000000001001000000000110000001000111000001000000000010000001100010001001110000010110000001101001011000010000111001100100111000000010110100110100100011000010011000110", + "INITVAL_3E": "00011001010000101000000000011000011000110001001010001000011100000111001001001110000010100100100111000001000010100011101000001001100000000011000100001010100001110000111100100100111000001110000010100101000010010100001110010001100001000111000000010110000001100001000011010010110001100001001000100001110100000000111000001011", + "INITVAL_3F": "00001110010010011100000001000000001100110001100011001100001100010011100000100001000010010110110101000000111001100000010000011100111001110001000000000100000001000001001110001110101100000011000011000000000000100110101100000001110011100011000000001000010010010101000000111000111001100000000101100000110000011100001000000000", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": 1, + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:28" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ "0" ], + "ADA1": [ "0" ], + "ADA10": [ "0" ], + "ADA11": [ "0" ], + "ADA12": [ "0" ], + "ADA13": [ "0" ], + "ADA2": [ "0" ], + "ADA3": [ "0" ], + "ADA4": [ "0" ], + "ADA5": [ "0" ], + "ADA6": [ "0" ], + "ADA7": [ "0" ], + "ADA8": [ "0" ], + "ADA9": [ "0" ], + "ADB0": [ 9947 ], + "ADB1": [ 9948 ], + "ADB10": [ 9957 ], + "ADB11": [ 9958 ], + "ADB12": [ 9959 ], + "ADB13": [ 9960 ], + "ADB2": [ 9949 ], + "ADB3": [ 9950 ], + "ADB4": [ 9951 ], + "ADB5": [ 9952 ], + "ADB6": [ 9953 ], + "ADB7": [ 9954 ], + "ADB8": [ 9955 ], + "ADB9": [ 9956 ], + "CEA": [ "1" ], + "CEB": [ 9312 ], + "CLKA": [ "0" ], + "CLKB": [ 2 ], + "DIA0": [ "0" ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 9728 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ "0" ], + "WEB": [ "0" ] + } + } }, "netnames": { + "$0\\PC[19:0]": { + "hide_name": 1, + "bits": [ 9241, 9834, 8976, 9353, 9143, 9745, 9376, 25, 9764, 9494, 9399, 9166, 9790, 9422, 9636, 9812, 12683, 12684, 12685, 12686 ], + "attributes": { + "src": "saturn_core.v:189" + } + }, + "$0\\jump_base[19:0]": { + "hide_name": 1, + "bits": [ 9889, 9892, 9194, 9460, 9887, 9445, 9449, 9550, 9859, 9853, 9597, 9594, 9590, 9588, 9585, 9573, 12687, 12688, 12689, 12690 ], + "attributes": { + "src": "saturn_core.v:189" + } + }, + "$0\\jump_offset[19:0]": { + "hide_name": 1, + "bits": [ 9694, 9698, 9702, 9692, 9696, 9700, 9704, 9706, 9708, 9710, 9712, 9714, 9716, 9718, 9687, 9689, 12691, 12692, 12693, 12694 ], + "attributes": { + "src": "saturn_core.v:189" + } + }, + "$0\\load_cnt[3:0]": { + "hide_name": 1, + "bits": [ 9338, 9131, 9340, 9667 ], + "attributes": { + "src": "saturn_core.v:189" + } + }, + "$0\\load_ctr[3:0]": { + "hide_name": 1, + "bits": [ 9317, 9106, 9328, 9264 ], + "attributes": { + "src": "saturn_core.v:189" + } + }, + "$0\\regdump[15:0]": { + "hide_name": 1, + "bits": [ 9920, 9921, 9933, 9934, 9936, 9937, 9939, 9940, 9941, 9942, 9924, 9925, 9927, 9928, 9930, 9931 ], + "attributes": { + "src": "saturn_core.v:189" + } + }, + "$0\\rstk_ptr[2:0]": { + "hide_name": 1, + "bits": [ 9678, 9737, 9307 ], + "attributes": { + "src": "saturn_core.v:189" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39260.f0": { + "hide_name": 1, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39260.f1": { + "hide_name": 1, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39262.f0": { + "hide_name": 1, + "bits": [ 34 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39262.f1": { + "hide_name": 1, + "bits": [ 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.f0": { + "hide_name": 1, + "bits": [ 68 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.f1": { + "hide_name": 1, + "bits": [ 69 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.f2": { + "hide_name": 1, + "bits": [ 70 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.f3": { + "hide_name": 1, + "bits": [ 71 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.f4": { + "hide_name": 1, + "bits": [ 72 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.f5": { + "hide_name": 1, + "bits": [ 73 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.f6": { + "hide_name": 1, + "bits": [ 74 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.f7": { + "hide_name": 1, + "bits": [ 75 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.g0": { + "hide_name": 1, + "bits": [ 77 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.g1": { + "hide_name": 1, + "bits": [ 78 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.g2": { + "hide_name": 1, + "bits": [ 79 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.g3": { + "hide_name": 1, + "bits": [ 80 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.h0": { + "hide_name": 1, + "bits": [ 82 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39282.h1": { + "hide_name": 1, + "bits": [ 83 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39283.f0": { + "hide_name": 1, + "bits": [ 90 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39283.f1": { + "hide_name": 1, + "bits": [ 91 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39284.f0": { + "hide_name": 1, + "bits": [ 97 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39284.f1": { + "hide_name": 1, + "bits": [ 98 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.f0": { + "hide_name": 1, + "bits": [ 104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.f1": { + "hide_name": 1, + "bits": [ 105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.f2": { + "hide_name": 1, + "bits": [ 106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.f3": { + "hide_name": 1, + "bits": [ 107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.g0": { + "hide_name": 1, + "bits": [ 109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39285.g1": { + "hide_name": 1, + "bits": [ 110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39286.f0": { + "hide_name": 1, + "bits": [ 116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39286.f1": { + "hide_name": 1, + "bits": [ 117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.f0": { + "hide_name": 1, + "bits": [ 123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.f1": { + "hide_name": 1, + "bits": [ 124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.f2": { + "hide_name": 1, + "bits": [ 125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.f3": { + "hide_name": 1, + "bits": [ 126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.f4": { + "hide_name": 1, + "bits": [ 127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.f5": { + "hide_name": 1, + "bits": [ 128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.f6": { + "hide_name": 1, + "bits": [ 129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.f7": { + "hide_name": 1, + "bits": [ 130 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.g0": { + "hide_name": 1, + "bits": [ 132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.g1": { + "hide_name": 1, + "bits": [ 133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.g2": { + "hide_name": 1, + "bits": [ 134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.g3": { + "hide_name": 1, + "bits": [ 135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.h0": { + "hide_name": 1, + "bits": [ 137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39287.h1": { + "hide_name": 1, + "bits": [ 138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39288.f0": { + "hide_name": 1, + "bits": [ 144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39288.f1": { + "hide_name": 1, + "bits": [ 145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39289.f0": { + "hide_name": 1, + "bits": [ 151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39289.f1": { + "hide_name": 1, + "bits": [ 152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.f0": { + "hide_name": 1, + "bits": [ 158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.f1": { + "hide_name": 1, + "bits": [ 159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.f2": { + "hide_name": 1, + "bits": [ 160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.f3": { + "hide_name": 1, + "bits": [ 161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.f4": { + "hide_name": 1, + "bits": [ 162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.f5": { + "hide_name": 1, + "bits": [ 163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.f6": { + "hide_name": 1, + "bits": [ 164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.f7": { + "hide_name": 1, + "bits": [ 165 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.g0": { + "hide_name": 1, + "bits": [ 166 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.g1": { + "hide_name": 1, + "bits": [ 167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.g2": { + "hide_name": 1, + "bits": [ 168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.g3": { + "hide_name": 1, + "bits": [ 169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.h0": { + "hide_name": 1, + "bits": [ 171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39290.h1": { + "hide_name": 1, + "bits": [ 172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39291.f0": { + "hide_name": 1, + "bits": [ 178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39291.f1": { + "hide_name": 1, + "bits": [ 179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.f0": { + "hide_name": 1, + "bits": [ 185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.f1": { + "hide_name": 1, + "bits": [ 186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.f2": { + "hide_name": 1, + "bits": [ 187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.f3": { + "hide_name": 1, + "bits": [ 188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.f4": { + "hide_name": 1, + "bits": [ 189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.f5": { + "hide_name": 1, + "bits": [ 190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.f6": { + "hide_name": 1, + "bits": [ 191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.f7": { + "hide_name": 1, + "bits": [ 192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.g0": { + "hide_name": 1, + "bits": [ 194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.g1": { + "hide_name": 1, + "bits": [ 195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.g2": { + "hide_name": 1, + "bits": [ 196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.g3": { + "hide_name": 1, + "bits": [ 197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.h0": { + "hide_name": 1, + "bits": [ 199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39292.h1": { + "hide_name": 1, + "bits": [ 200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39293.f0": { + "hide_name": 1, + "bits": [ 206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39293.f1": { + "hide_name": 1, + "bits": [ 207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39294.f0": { + "hide_name": 1, + "bits": [ 213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39294.f1": { + "hide_name": 1, + "bits": [ 214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.f0": { + "hide_name": 1, + "bits": [ 227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.f1": { + "hide_name": 1, + "bits": [ 228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.f2": { + "hide_name": 1, + "bits": [ 229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.f3": { + "hide_name": 1, + "bits": [ 230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.f4": { + "hide_name": 1, + "bits": [ 231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.f5": { + "hide_name": 1, + "bits": [ 232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.f6": { + "hide_name": 1, + "bits": [ 233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.f7": { + "hide_name": 1, + "bits": [ 234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.g0": { + "hide_name": 1, + "bits": [ 236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.g1": { + "hide_name": 1, + "bits": [ 237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.g2": { + "hide_name": 1, + "bits": [ 238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.g3": { + "hide_name": 1, + "bits": [ 239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.h0": { + "hide_name": 1, + "bits": [ 241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39297.h1": { + "hide_name": 1, + "bits": [ 242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.f0": { + "hide_name": 1, + "bits": [ 248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.f1": { + "hide_name": 1, + "bits": [ 249 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.f2": { + "hide_name": 1, + "bits": [ 250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.f3": { + "hide_name": 1, + "bits": [ 251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.f4": { + "hide_name": 1, + "bits": [ 252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.f5": { + "hide_name": 1, + "bits": [ 253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.f6": { + "hide_name": 1, + "bits": [ 254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.f7": { + "hide_name": 1, + "bits": [ 255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.g0": { + "hide_name": 1, + "bits": [ 257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.g1": { + "hide_name": 1, + "bits": [ 258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.g2": { + "hide_name": 1, + "bits": [ 259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.g3": { + "hide_name": 1, + "bits": [ 260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.h0": { + "hide_name": 1, + "bits": [ 262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39298.h1": { + "hide_name": 1, + "bits": [ 263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39299.f0": { + "hide_name": 1, + "bits": [ 269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39299.f1": { + "hide_name": 1, + "bits": [ 270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39300.f0": { + "hide_name": 1, + "bits": [ 276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39300.f1": { + "hide_name": 1, + "bits": [ 277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.f0": { + "hide_name": 1, + "bits": [ 285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.f1": { + "hide_name": 1, + "bits": [ 286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.f2": { + "hide_name": 1, + "bits": [ 287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.f3": { + "hide_name": 1, + "bits": [ 288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.f4": { + "hide_name": 1, + "bits": [ 289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.f5": { + "hide_name": 1, + "bits": [ 290 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.f6": { + "hide_name": 1, + "bits": [ 291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.f7": { + "hide_name": 1, + "bits": [ 292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.g0": { + "hide_name": 1, + "bits": [ 294 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.g1": { + "hide_name": 1, + "bits": [ 295 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.g2": { + "hide_name": 1, + "bits": [ 296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.g3": { + "hide_name": 1, + "bits": [ 297 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.h0": { + "hide_name": 1, + "bits": [ 299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39302.h1": { + "hide_name": 1, + "bits": [ 300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.f0": { + "hide_name": 1, + "bits": [ 306 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.f1": { + "hide_name": 1, + "bits": [ 307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.f2": { + "hide_name": 1, + "bits": [ 308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.f3": { + "hide_name": 1, + "bits": [ 309 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.f4": { + "hide_name": 1, + "bits": [ 310 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.f5": { + "hide_name": 1, + "bits": [ 311 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.f6": { + "hide_name": 1, + "bits": [ 312 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.f7": { + "hide_name": 1, + "bits": [ 313 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.g0": { + "hide_name": 1, + "bits": [ 315 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.g1": { + "hide_name": 1, + "bits": [ 316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.g2": { + "hide_name": 1, + "bits": [ 317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.g3": { + "hide_name": 1, + "bits": [ 318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.h0": { + "hide_name": 1, + "bits": [ 320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39303.h1": { + "hide_name": 1, + "bits": [ 321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39304.f0": { + "hide_name": 1, + "bits": [ 327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39304.f1": { + "hide_name": 1, + "bits": [ 328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.f0": { + "hide_name": 1, + "bits": [ 334 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.f1": { + "hide_name": 1, + "bits": [ 335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.f2": { + "hide_name": 1, + "bits": [ 336 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.f3": { + "hide_name": 1, + "bits": [ 337 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.f4": { + "hide_name": 1, + "bits": [ 338 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.f5": { + "hide_name": 1, + "bits": [ 339 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.f6": { + "hide_name": 1, + "bits": [ 340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.f7": { + "hide_name": 1, + "bits": [ 341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.g0": { + "hide_name": 1, + "bits": [ 343 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.g1": { + "hide_name": 1, + "bits": [ 344 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.g2": { + "hide_name": 1, + "bits": [ 345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.g3": { + "hide_name": 1, + "bits": [ 346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.h0": { + "hide_name": 1, + "bits": [ 348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39305.h1": { + "hide_name": 1, + "bits": [ 349 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39306.f0": { + "hide_name": 1, + "bits": [ 355 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39306.f1": { + "hide_name": 1, + "bits": [ 356 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.f0": { + "hide_name": 1, + "bits": [ 362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.f1": { + "hide_name": 1, + "bits": [ 363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.f2": { + "hide_name": 1, + "bits": [ 364 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.f3": { + "hide_name": 1, + "bits": [ 365 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.f4": { + "hide_name": 1, + "bits": [ 366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.f5": { + "hide_name": 1, + "bits": [ 367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.f6": { + "hide_name": 1, + "bits": [ 368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.f7": { + "hide_name": 1, + "bits": [ 369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.g0": { + "hide_name": 1, + "bits": [ 371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.g1": { + "hide_name": 1, + "bits": [ 372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.g2": { + "hide_name": 1, + "bits": [ 373 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.g3": { + "hide_name": 1, + "bits": [ 374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.h0": { + "hide_name": 1, + "bits": [ 376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39307.h1": { + "hide_name": 1, + "bits": [ 377 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.f0": { + "hide_name": 1, + "bits": [ 387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.f1": { + "hide_name": 1, + "bits": [ 388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.f2": { + "hide_name": 1, + "bits": [ 389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.f3": { + "hide_name": 1, + "bits": [ 390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.f4": { + "hide_name": 1, + "bits": [ 391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.f5": { + "hide_name": 1, + "bits": [ 392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.f6": { + "hide_name": 1, + "bits": [ 393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.f7": { + "hide_name": 1, + "bits": [ 394 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.g0": { + "hide_name": 1, + "bits": [ 396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.g1": { + "hide_name": 1, + "bits": [ 397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.g2": { + "hide_name": 1, + "bits": [ 398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.g3": { + "hide_name": 1, + "bits": [ 399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.h0": { + "hide_name": 1, + "bits": [ 401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39309.h1": { + "hide_name": 1, + "bits": [ 402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.f0": { + "hide_name": 1, + "bits": [ 408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.f1": { + "hide_name": 1, + "bits": [ 409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.f2": { + "hide_name": 1, + "bits": [ 410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.f3": { + "hide_name": 1, + "bits": [ 411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.g0": { + "hide_name": 1, + "bits": [ 413 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39310.g1": { + "hide_name": 1, + "bits": [ 414 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.f0": { + "hide_name": 1, + "bits": [ 420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.f1": { + "hide_name": 1, + "bits": [ 421 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.f2": { + "hide_name": 1, + "bits": [ 422 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.f3": { + "hide_name": 1, + "bits": [ 423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.g0": { + "hide_name": 1, + "bits": [ 425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39311.g1": { + "hide_name": 1, + "bits": [ 426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.f0": { + "hide_name": 1, + "bits": [ 432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.f1": { + "hide_name": 1, + "bits": [ 433 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.f2": { + "hide_name": 1, + "bits": [ 434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.f3": { + "hide_name": 1, + "bits": [ 435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.f4": { + "hide_name": 1, + "bits": [ 436 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.f5": { + "hide_name": 1, + "bits": [ 437 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.f6": { + "hide_name": 1, + "bits": [ 438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.f7": { + "hide_name": 1, + "bits": [ 439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.g0": { + "hide_name": 1, + "bits": [ 441 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.g1": { + "hide_name": 1, + "bits": [ 442 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.g2": { + "hide_name": 1, + "bits": [ 443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.g3": { + "hide_name": 1, + "bits": [ 444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.h0": { + "hide_name": 1, + "bits": [ 446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39312.h1": { + "hide_name": 1, + "bits": [ 447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.f0": { + "hide_name": 1, + "bits": [ 453 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.f1": { + "hide_name": 1, + "bits": [ 454 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.f2": { + "hide_name": 1, + "bits": [ 455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.f3": { + "hide_name": 1, + "bits": [ 456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.f4": { + "hide_name": 1, + "bits": [ 457 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.f5": { + "hide_name": 1, + "bits": [ 458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.f6": { + "hide_name": 1, + "bits": [ 459 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.f7": { + "hide_name": 1, + "bits": [ 460 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.g0": { + "hide_name": 1, + "bits": [ 462 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.g1": { + "hide_name": 1, + "bits": [ 463 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.g2": { + "hide_name": 1, + "bits": [ 464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.g3": { + "hide_name": 1, + "bits": [ 465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.h0": { + "hide_name": 1, + "bits": [ 467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39313.h1": { + "hide_name": 1, + "bits": [ 468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39314.f0": { + "hide_name": 1, + "bits": [ 474 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39314.f1": { + "hide_name": 1, + "bits": [ 475 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.f0": { + "hide_name": 1, + "bits": [ 481 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.f1": { + "hide_name": 1, + "bits": [ 482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.f2": { + "hide_name": 1, + "bits": [ 483 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.f3": { + "hide_name": 1, + "bits": [ 484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.f4": { + "hide_name": 1, + "bits": [ 485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.f5": { + "hide_name": 1, + "bits": [ 486 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.f6": { + "hide_name": 1, + "bits": [ 487 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.f7": { + "hide_name": 1, + "bits": [ 488 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.g0": { + "hide_name": 1, + "bits": [ 490 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.g1": { + "hide_name": 1, + "bits": [ 491 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.g2": { + "hide_name": 1, + "bits": [ 492 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.g3": { + "hide_name": 1, + "bits": [ 493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.h0": { + "hide_name": 1, + "bits": [ 495 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39315.h1": { + "hide_name": 1, + "bits": [ 496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.f0": { + "hide_name": 1, + "bits": [ 502 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.f1": { + "hide_name": 1, + "bits": [ 503 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.f2": { + "hide_name": 1, + "bits": [ 504 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.f3": { + "hide_name": 1, + "bits": [ 505 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.f4": { + "hide_name": 1, + "bits": [ 506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.f5": { + "hide_name": 1, + "bits": [ 507 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.f6": { + "hide_name": 1, + "bits": [ 508 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.f7": { + "hide_name": 1, + "bits": [ 509 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.g0": { + "hide_name": 1, + "bits": [ 511 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.g1": { + "hide_name": 1, + "bits": [ 512 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.g2": { + "hide_name": 1, + "bits": [ 513 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.g3": { + "hide_name": 1, + "bits": [ 514 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.h0": { + "hide_name": 1, + "bits": [ 516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39316.h1": { + "hide_name": 1, + "bits": [ 517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.f0": { + "hide_name": 1, + "bits": [ 527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.f1": { + "hide_name": 1, + "bits": [ 528 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.f2": { + "hide_name": 1, + "bits": [ 529 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.f3": { + "hide_name": 1, + "bits": [ 530 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.f4": { + "hide_name": 1, + "bits": [ 531 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.f5": { + "hide_name": 1, + "bits": [ 532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.f6": { + "hide_name": 1, + "bits": [ 533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.f7": { + "hide_name": 1, + "bits": [ 534 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.g0": { + "hide_name": 1, + "bits": [ 536 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.g1": { + "hide_name": 1, + "bits": [ 537 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.g2": { + "hide_name": 1, + "bits": [ 538 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.g3": { + "hide_name": 1, + "bits": [ 539 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.h0": { + "hide_name": 1, + "bits": [ 541 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39318.h1": { + "hide_name": 1, + "bits": [ 542 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39319.f0": { + "hide_name": 1, + "bits": [ 548 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39319.f1": { + "hide_name": 1, + "bits": [ 549 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.f0": { + "hide_name": 1, + "bits": [ 555 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.f1": { + "hide_name": 1, + "bits": [ 556 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.f2": { + "hide_name": 1, + "bits": [ 557 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.f3": { + "hide_name": 1, + "bits": [ 558 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.f4": { + "hide_name": 1, + "bits": [ 559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.f5": { + "hide_name": 1, + "bits": [ 560 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.f6": { + "hide_name": 1, + "bits": [ 561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.f7": { + "hide_name": 1, + "bits": [ 562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.g0": { + "hide_name": 1, + "bits": [ 564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.g1": { + "hide_name": 1, + "bits": [ 565 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.g2": { + "hide_name": 1, + "bits": [ 566 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.g3": { + "hide_name": 1, + "bits": [ 567 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.h0": { + "hide_name": 1, + "bits": [ 569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39320.h1": { + "hide_name": 1, + "bits": [ 570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39321.f0": { + "hide_name": 1, + "bits": [ 576 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39321.f1": { + "hide_name": 1, + "bits": [ 577 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.f0": { + "hide_name": 1, + "bits": [ 587 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.f1": { + "hide_name": 1, + "bits": [ 588 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.f2": { + "hide_name": 1, + "bits": [ 589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.f3": { + "hide_name": 1, + "bits": [ 590 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.f4": { + "hide_name": 1, + "bits": [ 591 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.f5": { + "hide_name": 1, + "bits": [ 592 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.f6": { + "hide_name": 1, + "bits": [ 593 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.f7": { + "hide_name": 1, + "bits": [ 594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.g0": { + "hide_name": 1, + "bits": [ 596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.g1": { + "hide_name": 1, + "bits": [ 597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.g2": { + "hide_name": 1, + "bits": [ 598 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.g3": { + "hide_name": 1, + "bits": [ 599 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.h0": { + "hide_name": 1, + "bits": [ 601 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39323.h1": { + "hide_name": 1, + "bits": [ 602 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39324.f0": { + "hide_name": 1, + "bits": [ 608 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39324.f1": { + "hide_name": 1, + "bits": [ 609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.f0": { + "hide_name": 1, + "bits": [ 615 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.f1": { + "hide_name": 1, + "bits": [ 616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.f2": { + "hide_name": 1, + "bits": [ 617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.f3": { + "hide_name": 1, + "bits": [ 618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.f4": { + "hide_name": 1, + "bits": [ 619 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.f5": { + "hide_name": 1, + "bits": [ 620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.f6": { + "hide_name": 1, + "bits": [ 621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.f7": { + "hide_name": 1, + "bits": [ 622 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.g0": { + "hide_name": 1, + "bits": [ 624 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.g1": { + "hide_name": 1, + "bits": [ 625 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.g2": { + "hide_name": 1, + "bits": [ 626 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.g3": { + "hide_name": 1, + "bits": [ 627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.h0": { + "hide_name": 1, + "bits": [ 628 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39325.h1": { + "hide_name": 1, + "bits": [ 629 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39326.f0": { + "hide_name": 1, + "bits": [ 635 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39326.f1": { + "hide_name": 1, + "bits": [ 636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.f0": { + "hide_name": 1, + "bits": [ 646 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.f1": { + "hide_name": 1, + "bits": [ 647 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.f2": { + "hide_name": 1, + "bits": [ 648 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.f3": { + "hide_name": 1, + "bits": [ 649 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.f4": { + "hide_name": 1, + "bits": [ 650 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.f5": { + "hide_name": 1, + "bits": [ 651 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.f6": { + "hide_name": 1, + "bits": [ 652 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.f7": { + "hide_name": 1, + "bits": [ 653 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.g0": { + "hide_name": 1, + "bits": [ 655 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.g1": { + "hide_name": 1, + "bits": [ 656 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.g2": { + "hide_name": 1, + "bits": [ 657 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.g3": { + "hide_name": 1, + "bits": [ 658 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.h0": { + "hide_name": 1, + "bits": [ 660 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39328.h1": { + "hide_name": 1, + "bits": [ 661 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.f0": { + "hide_name": 1, + "bits": [ 671 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.f1": { + "hide_name": 1, + "bits": [ 672 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.f2": { + "hide_name": 1, + "bits": [ 673 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.f3": { + "hide_name": 1, + "bits": [ 674 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.f4": { + "hide_name": 1, + "bits": [ 675 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.f5": { + "hide_name": 1, + "bits": [ 676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.f6": { + "hide_name": 1, + "bits": [ 677 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.f7": { + "hide_name": 1, + "bits": [ 678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.g0": { + "hide_name": 1, + "bits": [ 680 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.g1": { + "hide_name": 1, + "bits": [ 681 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.g2": { + "hide_name": 1, + "bits": [ 682 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.g3": { + "hide_name": 1, + "bits": [ 683 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.h0": { + "hide_name": 1, + "bits": [ 685 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39330.h1": { + "hide_name": 1, + "bits": [ 686 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.f0": { + "hide_name": 1, + "bits": [ 695 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.f1": { + "hide_name": 1, + "bits": [ 696 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.f2": { + "hide_name": 1, + "bits": [ 697 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.f3": { + "hide_name": 1, + "bits": [ 698 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.f4": { + "hide_name": 1, + "bits": [ 699 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.f5": { + "hide_name": 1, + "bits": [ 700 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.f6": { + "hide_name": 1, + "bits": [ 701 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.f7": { + "hide_name": 1, + "bits": [ 702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.g0": { + "hide_name": 1, + "bits": [ 704 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.g1": { + "hide_name": 1, + "bits": [ 705 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.g2": { + "hide_name": 1, + "bits": [ 706 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.g3": { + "hide_name": 1, + "bits": [ 707 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.h0": { + "hide_name": 1, + "bits": [ 709 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39332.h1": { + "hide_name": 1, + "bits": [ 710 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.f0": { + "hide_name": 1, + "bits": [ 716 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.f1": { + "hide_name": 1, + "bits": [ 717 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.f2": { + "hide_name": 1, + "bits": [ 718 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.f3": { + "hide_name": 1, + "bits": [ 719 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.g0": { + "hide_name": 1, + "bits": [ 721 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39333.g1": { + "hide_name": 1, + "bits": [ 722 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.f0": { + "hide_name": 1, + "bits": [ 728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.f1": { + "hide_name": 1, + "bits": [ 729 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.f2": { + "hide_name": 1, + "bits": [ 730 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.f3": { + "hide_name": 1, + "bits": [ 731 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.f4": { + "hide_name": 1, + "bits": [ 732 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.f5": { + "hide_name": 1, + "bits": [ 733 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.f6": { + "hide_name": 1, + "bits": [ 734 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.f7": { + "hide_name": 1, + "bits": [ 735 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.g0": { + "hide_name": 1, + "bits": [ 737 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.g1": { + "hide_name": 1, + "bits": [ 738 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.g2": { + "hide_name": 1, + "bits": [ 739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.g3": { + "hide_name": 1, + "bits": [ 740 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.h0": { + "hide_name": 1, + "bits": [ 742 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39334.h1": { + "hide_name": 1, + "bits": [ 743 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.f0": { + "hide_name": 1, + "bits": [ 749 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.f1": { + "hide_name": 1, + "bits": [ 750 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.f2": { + "hide_name": 1, + "bits": [ 751 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.f3": { + "hide_name": 1, + "bits": [ 752 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.f4": { + "hide_name": 1, + "bits": [ 753 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.f5": { + "hide_name": 1, + "bits": [ 754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.f6": { + "hide_name": 1, + "bits": [ 755 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.f7": { + "hide_name": 1, + "bits": [ 756 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.g0": { + "hide_name": 1, + "bits": [ 758 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.g1": { + "hide_name": 1, + "bits": [ 759 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.g2": { + "hide_name": 1, + "bits": [ 760 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.g3": { + "hide_name": 1, + "bits": [ 761 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.h0": { + "hide_name": 1, + "bits": [ 763 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39335.h1": { + "hide_name": 1, + "bits": [ 764 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39336.f0": { + "hide_name": 1, + "bits": [ 770 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39336.f1": { + "hide_name": 1, + "bits": [ 771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.f0": { + "hide_name": 1, + "bits": [ 777 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.f1": { + "hide_name": 1, + "bits": [ 778 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.f2": { + "hide_name": 1, + "bits": [ 779 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.f3": { + "hide_name": 1, + "bits": [ 780 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.f4": { + "hide_name": 1, + "bits": [ 781 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.f5": { + "hide_name": 1, + "bits": [ 782 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.f6": { + "hide_name": 1, + "bits": [ 783 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.f7": { + "hide_name": 1, + "bits": [ 784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.g0": { + "hide_name": 1, + "bits": [ 786 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.g1": { + "hide_name": 1, + "bits": [ 787 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.g2": { + "hide_name": 1, + "bits": [ 788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.g3": { + "hide_name": 1, + "bits": [ 789 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.h0": { + "hide_name": 1, + "bits": [ 791 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39337.h1": { + "hide_name": 1, + "bits": [ 792 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.f0": { + "hide_name": 1, + "bits": [ 798 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.f1": { + "hide_name": 1, + "bits": [ 799 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.f2": { + "hide_name": 1, + "bits": [ 800 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.f3": { + "hide_name": 1, + "bits": [ 801 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.f4": { + "hide_name": 1, + "bits": [ 802 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.f5": { + "hide_name": 1, + "bits": [ 803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.f6": { + "hide_name": 1, + "bits": [ 804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.f7": { + "hide_name": 1, + "bits": [ 805 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.g0": { + "hide_name": 1, + "bits": [ 806 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.g1": { + "hide_name": 1, + "bits": [ 807 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.g2": { + "hide_name": 1, + "bits": [ 808 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.g3": { + "hide_name": 1, + "bits": [ 809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.h0": { + "hide_name": 1, + "bits": [ 811 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39338.h1": { + "hide_name": 1, + "bits": [ 812 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39339.f0": { + "hide_name": 1, + "bits": [ 818 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39339.f1": { + "hide_name": 1, + "bits": [ 819 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39340.f0": { + "hide_name": 1, + "bits": [ 825 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39340.f1": { + "hide_name": 1, + "bits": [ 826 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39341.f0": { + "hide_name": 1, + "bits": [ 832 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39341.f1": { + "hide_name": 1, + "bits": [ 833 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.f0": { + "hide_name": 1, + "bits": [ 847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.f1": { + "hide_name": 1, + "bits": [ 848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.f2": { + "hide_name": 1, + "bits": [ 849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.f3": { + "hide_name": 1, + "bits": [ 850 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.f4": { + "hide_name": 1, + "bits": [ 851 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.f5": { + "hide_name": 1, + "bits": [ 852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.f6": { + "hide_name": 1, + "bits": [ 853 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.f7": { + "hide_name": 1, + "bits": [ 854 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.g0": { + "hide_name": 1, + "bits": [ 856 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.g1": { + "hide_name": 1, + "bits": [ 857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.g2": { + "hide_name": 1, + "bits": [ 858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.g3": { + "hide_name": 1, + "bits": [ 859 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.h0": { + "hide_name": 1, + "bits": [ 861 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39344.h1": { + "hide_name": 1, + "bits": [ 862 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.f0": { + "hide_name": 1, + "bits": [ 868 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.f1": { + "hide_name": 1, + "bits": [ 869 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.f2": { + "hide_name": 1, + "bits": [ 870 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.f3": { + "hide_name": 1, + "bits": [ 871 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.f4": { + "hide_name": 1, + "bits": [ 872 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.f5": { + "hide_name": 1, + "bits": [ 873 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.f6": { + "hide_name": 1, + "bits": [ 874 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.f7": { + "hide_name": 1, + "bits": [ 875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.g0": { + "hide_name": 1, + "bits": [ 877 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.g1": { + "hide_name": 1, + "bits": [ 878 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.g2": { + "hide_name": 1, + "bits": [ 879 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.g3": { + "hide_name": 1, + "bits": [ 880 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.h0": { + "hide_name": 1, + "bits": [ 882 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39345.h1": { + "hide_name": 1, + "bits": [ 883 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39346.f0": { + "hide_name": 1, + "bits": [ 889 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39346.f1": { + "hide_name": 1, + "bits": [ 890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.f0": { + "hide_name": 1, + "bits": [ 896 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.f1": { + "hide_name": 1, + "bits": [ 897 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.f2": { + "hide_name": 1, + "bits": [ 898 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.f3": { + "hide_name": 1, + "bits": [ 899 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.f4": { + "hide_name": 1, + "bits": [ 900 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.f5": { + "hide_name": 1, + "bits": [ 901 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.f6": { + "hide_name": 1, + "bits": [ 902 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.f7": { + "hide_name": 1, + "bits": [ 903 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.g0": { + "hide_name": 1, + "bits": [ 905 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.g1": { + "hide_name": 1, + "bits": [ 906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.g2": { + "hide_name": 1, + "bits": [ 907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.g3": { + "hide_name": 1, + "bits": [ 908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.h0": { + "hide_name": 1, + "bits": [ 910 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39347.h1": { + "hide_name": 1, + "bits": [ 911 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.f0": { + "hide_name": 1, + "bits": [ 917 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.f1": { + "hide_name": 1, + "bits": [ 918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.f2": { + "hide_name": 1, + "bits": [ 919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.f3": { + "hide_name": 1, + "bits": [ 920 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.g0": { + "hide_name": 1, + "bits": [ 922 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39348.g1": { + "hide_name": 1, + "bits": [ 923 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.f0": { + "hide_name": 1, + "bits": [ 929 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.f1": { + "hide_name": 1, + "bits": [ 930 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.f2": { + "hide_name": 1, + "bits": [ 931 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.f3": { + "hide_name": 1, + "bits": [ 932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.g0": { + "hide_name": 1, + "bits": [ 934 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39349.g1": { + "hide_name": 1, + "bits": [ 935 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.f0": { + "hide_name": 1, + "bits": [ 946 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.f1": { + "hide_name": 1, + "bits": [ 947 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.f2": { + "hide_name": 1, + "bits": [ 948 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.f3": { + "hide_name": 1, + "bits": [ 949 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.f4": { + "hide_name": 1, + "bits": [ 950 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.f5": { + "hide_name": 1, + "bits": [ 951 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.f6": { + "hide_name": 1, + "bits": [ 952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.f7": { + "hide_name": 1, + "bits": [ 953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.g0": { + "hide_name": 1, + "bits": [ 955 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.g1": { + "hide_name": 1, + "bits": [ 956 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.g2": { + "hide_name": 1, + "bits": [ 957 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.g3": { + "hide_name": 1, + "bits": [ 958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.h0": { + "hide_name": 1, + "bits": [ 960 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39352.h1": { + "hide_name": 1, + "bits": [ 961 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39353.f0": { + "hide_name": 1, + "bits": [ 967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39353.f1": { + "hide_name": 1, + "bits": [ 968 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.f0": { + "hide_name": 1, + "bits": [ 974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.f1": { + "hide_name": 1, + "bits": [ 975 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.f2": { + "hide_name": 1, + "bits": [ 976 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.f3": { + "hide_name": 1, + "bits": [ 977 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.g0": { + "hide_name": 1, + "bits": [ 979 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39354.g1": { + "hide_name": 1, + "bits": [ 980 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.f0": { + "hide_name": 1, + "bits": [ 985 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.f1": { + "hide_name": 1, + "bits": [ 986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.f2": { + "hide_name": 1, + "bits": [ 987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.f3": { + "hide_name": 1, + "bits": [ 988 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.g0": { + "hide_name": 1, + "bits": [ 989 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39355.g1": { + "hide_name": 1, + "bits": [ 990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.f0": { + "hide_name": 1, + "bits": [ 994 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.f1": { + "hide_name": 1, + "bits": [ 995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.f2": { + "hide_name": 1, + "bits": [ 996 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.f3": { + "hide_name": 1, + "bits": [ 997 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.g0": { + "hide_name": 1, + "bits": [ 998 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39356.g1": { + "hide_name": 1, + "bits": [ 999 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.f0": { + "hide_name": 1, + "bits": [ 1004 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.f1": { + "hide_name": 1, + "bits": [ 1005 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.f2": { + "hide_name": 1, + "bits": [ 1006 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.f3": { + "hide_name": 1, + "bits": [ 1007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.f4": { + "hide_name": 1, + "bits": [ 1008 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.f5": { + "hide_name": 1, + "bits": [ 1009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.f6": { + "hide_name": 1, + "bits": [ 1010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.f7": { + "hide_name": 1, + "bits": [ 1011 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.g0": { + "hide_name": 1, + "bits": [ 1012 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.g1": { + "hide_name": 1, + "bits": [ 1013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.g2": { + "hide_name": 1, + "bits": [ 1014 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.g3": { + "hide_name": 1, + "bits": [ 1015 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.h0": { + "hide_name": 1, + "bits": [ 1016 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39357.h1": { + "hide_name": 1, + "bits": [ 1017 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.f0": { + "hide_name": 1, + "bits": [ 1021 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.f1": { + "hide_name": 1, + "bits": [ 1022 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.f2": { + "hide_name": 1, + "bits": [ 1023 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.f3": { + "hide_name": 1, + "bits": [ 1024 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.g0": { + "hide_name": 1, + "bits": [ 1025 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39358.g1": { + "hide_name": 1, + "bits": [ 1026 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39359.f0": { + "hide_name": 1, + "bits": [ 1031 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39359.f1": { + "hide_name": 1, + "bits": [ 1032 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.f0": { + "hide_name": 1, + "bits": [ 1037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.f1": { + "hide_name": 1, + "bits": [ 1038 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.f2": { + "hide_name": 1, + "bits": [ 1039 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.f3": { + "hide_name": 1, + "bits": [ 1040 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.g0": { + "hide_name": 1, + "bits": [ 1041 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39360.g1": { + "hide_name": 1, + "bits": [ 1042 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.f0": { + "hide_name": 1, + "bits": [ 1046 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.f1": { + "hide_name": 1, + "bits": [ 1047 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.f2": { + "hide_name": 1, + "bits": [ 1048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.f3": { + "hide_name": 1, + "bits": [ 1049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.g0": { + "hide_name": 1, + "bits": [ 1050 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39361.g1": { + "hide_name": 1, + "bits": [ 1051 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.f0": { + "hide_name": 1, + "bits": [ 1055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.f1": { + "hide_name": 1, + "bits": [ 1056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.f2": { + "hide_name": 1, + "bits": [ 1057 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.f3": { + "hide_name": 1, + "bits": [ 1058 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.g0": { + "hide_name": 1, + "bits": [ 1059 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39362.g1": { + "hide_name": 1, + "bits": [ 1060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.f0": { + "hide_name": 1, + "bits": [ 1064 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.f1": { + "hide_name": 1, + "bits": [ 1065 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.f2": { + "hide_name": 1, + "bits": [ 1066 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.f3": { + "hide_name": 1, + "bits": [ 1067 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.g0": { + "hide_name": 1, + "bits": [ 1068 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39363.g1": { + "hide_name": 1, + "bits": [ 1069 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.f0": { + "hide_name": 1, + "bits": [ 1073 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.f1": { + "hide_name": 1, + "bits": [ 1074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.f2": { + "hide_name": 1, + "bits": [ 1075 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.f3": { + "hide_name": 1, + "bits": [ 1076 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.g0": { + "hide_name": 1, + "bits": [ 1077 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39364.g1": { + "hide_name": 1, + "bits": [ 1078 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.f0": { + "hide_name": 1, + "bits": [ 1083 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.f1": { + "hide_name": 1, + "bits": [ 1084 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.f2": { + "hide_name": 1, + "bits": [ 1085 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.f3": { + "hide_name": 1, + "bits": [ 1086 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.g0": { + "hide_name": 1, + "bits": [ 1088 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39365.g1": { + "hide_name": 1, + "bits": [ 1089 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.f0": { + "hide_name": 1, + "bits": [ 1094 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.f1": { + "hide_name": 1, + "bits": [ 1095 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.f2": { + "hide_name": 1, + "bits": [ 1096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.f3": { + "hide_name": 1, + "bits": [ 1097 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.g0": { + "hide_name": 1, + "bits": [ 1098 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39366.g1": { + "hide_name": 1, + "bits": [ 1099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.f0": { + "hide_name": 1, + "bits": [ 1103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.f1": { + "hide_name": 1, + "bits": [ 1104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.f2": { + "hide_name": 1, + "bits": [ 1105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.f3": { + "hide_name": 1, + "bits": [ 1106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.g0": { + "hide_name": 1, + "bits": [ 1107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39367.g1": { + "hide_name": 1, + "bits": [ 1108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.f0": { + "hide_name": 1, + "bits": [ 1112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.f1": { + "hide_name": 1, + "bits": [ 1113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.f2": { + "hide_name": 1, + "bits": [ 1114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.f3": { + "hide_name": 1, + "bits": [ 1115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.g0": { + "hide_name": 1, + "bits": [ 1116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39368.g1": { + "hide_name": 1, + "bits": [ 1117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.f0": { + "hide_name": 1, + "bits": [ 1122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.f1": { + "hide_name": 1, + "bits": [ 1123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.f2": { + "hide_name": 1, + "bits": [ 1124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.f3": { + "hide_name": 1, + "bits": [ 1125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.f4": { + "hide_name": 1, + "bits": [ 1126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.f5": { + "hide_name": 1, + "bits": [ 1127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.f6": { + "hide_name": 1, + "bits": [ 1128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.f7": { + "hide_name": 1, + "bits": [ 1129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.g0": { + "hide_name": 1, + "bits": [ 1130 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.g1": { + "hide_name": 1, + "bits": [ 1131 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.g2": { + "hide_name": 1, + "bits": [ 1132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.g3": { + "hide_name": 1, + "bits": [ 1133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.h0": { + "hide_name": 1, + "bits": [ 1134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39369.h1": { + "hide_name": 1, + "bits": [ 1135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.f0": { + "hide_name": 1, + "bits": [ 1139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.f1": { + "hide_name": 1, + "bits": [ 1140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.f2": { + "hide_name": 1, + "bits": [ 1141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.f3": { + "hide_name": 1, + "bits": [ 1142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.g0": { + "hide_name": 1, + "bits": [ 1143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39370.g1": { + "hide_name": 1, + "bits": [ 1144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.f0": { + "hide_name": 1, + "bits": [ 1148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.f1": { + "hide_name": 1, + "bits": [ 1149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.f2": { + "hide_name": 1, + "bits": [ 1150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.f3": { + "hide_name": 1, + "bits": [ 1151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.g0": { + "hide_name": 1, + "bits": [ 1152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39371.g1": { + "hide_name": 1, + "bits": [ 1153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.f0": { + "hide_name": 1, + "bits": [ 1157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.f1": { + "hide_name": 1, + "bits": [ 1158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.f2": { + "hide_name": 1, + "bits": [ 1159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.f3": { + "hide_name": 1, + "bits": [ 1160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.f4": { + "hide_name": 1, + "bits": [ 1161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.f5": { + "hide_name": 1, + "bits": [ 1162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.f6": { + "hide_name": 1, + "bits": [ 1163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.f7": { + "hide_name": 1, + "bits": [ 1164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.g0": { + "hide_name": 1, + "bits": [ 1166 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.g1": { + "hide_name": 1, + "bits": [ 1167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.g2": { + "hide_name": 1, + "bits": [ 1168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.g3": { + "hide_name": 1, + "bits": [ 1169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.h0": { + "hide_name": 1, + "bits": [ 1171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39372.h1": { + "hide_name": 1, + "bits": [ 1172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.f0": { + "hide_name": 1, + "bits": [ 1177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.f1": { + "hide_name": 1, + "bits": [ 1178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.f2": { + "hide_name": 1, + "bits": [ 1179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.f3": { + "hide_name": 1, + "bits": [ 1180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.g0": { + "hide_name": 1, + "bits": [ 1181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39373.g1": { + "hide_name": 1, + "bits": [ 1182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.f0": { + "hide_name": 1, + "bits": [ 1186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.f1": { + "hide_name": 1, + "bits": [ 1187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.f2": { + "hide_name": 1, + "bits": [ 1188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.f3": { + "hide_name": 1, + "bits": [ 1189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.g0": { + "hide_name": 1, + "bits": [ 1190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39374.g1": { + "hide_name": 1, + "bits": [ 1191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.f0": { + "hide_name": 1, + "bits": [ 1195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.f1": { + "hide_name": 1, + "bits": [ 1196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.f2": { + "hide_name": 1, + "bits": [ 1197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.f3": { + "hide_name": 1, + "bits": [ 1198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.g0": { + "hide_name": 1, + "bits": [ 1199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39375.g1": { + "hide_name": 1, + "bits": [ 1200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.f0": { + "hide_name": 1, + "bits": [ 1205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.f1": { + "hide_name": 1, + "bits": [ 1206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.f2": { + "hide_name": 1, + "bits": [ 1207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.f3": { + "hide_name": 1, + "bits": [ 1208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.f4": { + "hide_name": 1, + "bits": [ 1209 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.f5": { + "hide_name": 1, + "bits": [ 1210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.f6": { + "hide_name": 1, + "bits": [ 1211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.f7": { + "hide_name": 1, + "bits": [ 1212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.g0": { + "hide_name": 1, + "bits": [ 1213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.g1": { + "hide_name": 1, + "bits": [ 1214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.g2": { + "hide_name": 1, + "bits": [ 1215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.g3": { + "hide_name": 1, + "bits": [ 1216 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.h0": { + "hide_name": 1, + "bits": [ 1217 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39376.h1": { + "hide_name": 1, + "bits": [ 1218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.f0": { + "hide_name": 1, + "bits": [ 1223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.f1": { + "hide_name": 1, + "bits": [ 1224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.f2": { + "hide_name": 1, + "bits": [ 1225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.f3": { + "hide_name": 1, + "bits": [ 1226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.f4": { + "hide_name": 1, + "bits": [ 1227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.f5": { + "hide_name": 1, + "bits": [ 1228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.f6": { + "hide_name": 1, + "bits": [ 1229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.f7": { + "hide_name": 1, + "bits": [ 1230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.g0": { + "hide_name": 1, + "bits": [ 1231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.g1": { + "hide_name": 1, + "bits": [ 1232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.g2": { + "hide_name": 1, + "bits": [ 1233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.g3": { + "hide_name": 1, + "bits": [ 1234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.h0": { + "hide_name": 1, + "bits": [ 1235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39377.h1": { + "hide_name": 1, + "bits": [ 1236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.f0": { + "hide_name": 1, + "bits": [ 1241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.f1": { + "hide_name": 1, + "bits": [ 1242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.f2": { + "hide_name": 1, + "bits": [ 1243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.f3": { + "hide_name": 1, + "bits": [ 1244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.f4": { + "hide_name": 1, + "bits": [ 1245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.f5": { + "hide_name": 1, + "bits": [ 1246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.f6": { + "hide_name": 1, + "bits": [ 1247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.f7": { + "hide_name": 1, + "bits": [ 1248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.g0": { + "hide_name": 1, + "bits": [ 1249 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.g1": { + "hide_name": 1, + "bits": [ 1250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.g2": { + "hide_name": 1, + "bits": [ 1251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.g3": { + "hide_name": 1, + "bits": [ 1252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.h0": { + "hide_name": 1, + "bits": [ 1253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39378.h1": { + "hide_name": 1, + "bits": [ 1254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.f0": { + "hide_name": 1, + "bits": [ 1258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.f1": { + "hide_name": 1, + "bits": [ 1259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.f2": { + "hide_name": 1, + "bits": [ 1260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.f3": { + "hide_name": 1, + "bits": [ 1261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.g0": { + "hide_name": 1, + "bits": [ 1262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39379.g1": { + "hide_name": 1, + "bits": [ 1263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.f0": { + "hide_name": 1, + "bits": [ 1271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.f1": { + "hide_name": 1, + "bits": [ 1272 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.f2": { + "hide_name": 1, + "bits": [ 1273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.f3": { + "hide_name": 1, + "bits": [ 1274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.g0": { + "hide_name": 1, + "bits": [ 1275 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39381.g1": { + "hide_name": 1, + "bits": [ 1276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.f0": { + "hide_name": 1, + "bits": [ 1280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.f1": { + "hide_name": 1, + "bits": [ 1281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.f2": { + "hide_name": 1, + "bits": [ 1282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.f3": { + "hide_name": 1, + "bits": [ 1283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.g0": { + "hide_name": 1, + "bits": [ 1284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39382.g1": { + "hide_name": 1, + "bits": [ 1285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.f0": { + "hide_name": 1, + "bits": [ 1289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.f1": { + "hide_name": 1, + "bits": [ 1290 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.f2": { + "hide_name": 1, + "bits": [ 1291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.f3": { + "hide_name": 1, + "bits": [ 1292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.g0": { + "hide_name": 1, + "bits": [ 1293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39383.g1": { + "hide_name": 1, + "bits": [ 1294 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.f0": { + "hide_name": 1, + "bits": [ 1298 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.f1": { + "hide_name": 1, + "bits": [ 1299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.f2": { + "hide_name": 1, + "bits": [ 1300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.f3": { + "hide_name": 1, + "bits": [ 1301 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.g0": { + "hide_name": 1, + "bits": [ 1302 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39384.g1": { + "hide_name": 1, + "bits": [ 1303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39385.f0": { + "hide_name": 1, + "bits": [ 1308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39385.f1": { + "hide_name": 1, + "bits": [ 1309 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.f0": { + "hide_name": 1, + "bits": [ 1314 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.f1": { + "hide_name": 1, + "bits": [ 1315 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.f2": { + "hide_name": 1, + "bits": [ 1316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.f3": { + "hide_name": 1, + "bits": [ 1317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.g0": { + "hide_name": 1, + "bits": [ 1318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39386.g1": { + "hide_name": 1, + "bits": [ 1319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.f0": { + "hide_name": 1, + "bits": [ 1323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.f1": { + "hide_name": 1, + "bits": [ 1324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.f2": { + "hide_name": 1, + "bits": [ 1325 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.f3": { + "hide_name": 1, + "bits": [ 1326 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.g0": { + "hide_name": 1, + "bits": [ 1327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39387.g1": { + "hide_name": 1, + "bits": [ 1328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.f0": { + "hide_name": 1, + "bits": [ 1332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.f1": { + "hide_name": 1, + "bits": [ 1333 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.f2": { + "hide_name": 1, + "bits": [ 1334 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.f3": { + "hide_name": 1, + "bits": [ 1335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.g0": { + "hide_name": 1, + "bits": [ 1336 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39388.g1": { + "hide_name": 1, + "bits": [ 1337 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.f0": { + "hide_name": 1, + "bits": [ 1341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.f1": { + "hide_name": 1, + "bits": [ 1342 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.f2": { + "hide_name": 1, + "bits": [ 1343 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.f3": { + "hide_name": 1, + "bits": [ 1344 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.g0": { + "hide_name": 1, + "bits": [ 1345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39389.g1": { + "hide_name": 1, + "bits": [ 1346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.f0": { + "hide_name": 1, + "bits": [ 1350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.f1": { + "hide_name": 1, + "bits": [ 1351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.f2": { + "hide_name": 1, + "bits": [ 1352 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.f3": { + "hide_name": 1, + "bits": [ 1353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.g0": { + "hide_name": 1, + "bits": [ 1354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39390.g1": { + "hide_name": 1, + "bits": [ 1355 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.f0": { + "hide_name": 1, + "bits": [ 1361 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.f1": { + "hide_name": 1, + "bits": [ 1362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.f2": { + "hide_name": 1, + "bits": [ 1363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.f3": { + "hide_name": 1, + "bits": [ 1364 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.g0": { + "hide_name": 1, + "bits": [ 1366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39392.g1": { + "hide_name": 1, + "bits": [ 1367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39393.f0": { + "hide_name": 1, + "bits": [ 1371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39393.f1": { + "hide_name": 1, + "bits": [ 1372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39394.f0": { + "hide_name": 1, + "bits": [ 1375 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39394.f1": { + "hide_name": 1, + "bits": [ 1376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39395.f0": { + "hide_name": 1, + "bits": [ 1379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39395.f1": { + "hide_name": 1, + "bits": [ 1380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39396.f0": { + "hide_name": 1, + "bits": [ 1383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39396.f1": { + "hide_name": 1, + "bits": [ 1384 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39397.f0": { + "hide_name": 1, + "bits": [ 1387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39397.f1": { + "hide_name": 1, + "bits": [ 1388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39398.f0": { + "hide_name": 1, + "bits": [ 1391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39398.f1": { + "hide_name": 1, + "bits": [ 1392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.f0": { + "hide_name": 1, + "bits": [ 1397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.f1": { + "hide_name": 1, + "bits": [ 1398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.f2": { + "hide_name": 1, + "bits": [ 1399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.f3": { + "hide_name": 1, + "bits": [ 1400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.f4": { + "hide_name": 1, + "bits": [ 1401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.f5": { + "hide_name": 1, + "bits": [ 1402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.f6": { + "hide_name": 1, + "bits": [ 1403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.f7": { + "hide_name": 1, + "bits": [ 1404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.g0": { + "hide_name": 1, + "bits": [ 1406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.g1": { + "hide_name": 1, + "bits": [ 1407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.g2": { + "hide_name": 1, + "bits": [ 1408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.g3": { + "hide_name": 1, + "bits": [ 1409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.h0": { + "hide_name": 1, + "bits": [ 1411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39399.h1": { + "hide_name": 1, + "bits": [ 1412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39400.f0": { + "hide_name": 1, + "bits": [ 1416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39400.f1": { + "hide_name": 1, + "bits": [ 1417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.f0": { + "hide_name": 1, + "bits": [ 1421 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.f1": { + "hide_name": 1, + "bits": [ 1422 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.f2": { + "hide_name": 1, + "bits": [ 1423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.f3": { + "hide_name": 1, + "bits": [ 1424 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.f4": { + "hide_name": 1, + "bits": [ 1425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.f5": { + "hide_name": 1, + "bits": [ 1426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.f6": { + "hide_name": 1, + "bits": [ 1427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.f7": { + "hide_name": 1, + "bits": [ 1428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.g0": { + "hide_name": 1, + "bits": [ 1429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.g1": { + "hide_name": 1, + "bits": [ 1430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.g2": { + "hide_name": 1, + "bits": [ 1431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.g3": { + "hide_name": 1, + "bits": [ 1432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.h0": { + "hide_name": 1, + "bits": [ 1433 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39401.h1": { + "hide_name": 1, + "bits": [ 1434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.f0": { + "hide_name": 1, + "bits": [ 1438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.f1": { + "hide_name": 1, + "bits": [ 1439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.f2": { + "hide_name": 1, + "bits": [ 1440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.f3": { + "hide_name": 1, + "bits": [ 1441 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.f4": { + "hide_name": 1, + "bits": [ 1442 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.f5": { + "hide_name": 1, + "bits": [ 1443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.f6": { + "hide_name": 1, + "bits": [ 1444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.f7": { + "hide_name": 1, + "bits": [ 1445 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.g0": { + "hide_name": 1, + "bits": [ 1446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.g1": { + "hide_name": 1, + "bits": [ 1447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.g2": { + "hide_name": 1, + "bits": [ 1448 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.g3": { + "hide_name": 1, + "bits": [ 1449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.h0": { + "hide_name": 1, + "bits": [ 1450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39402.h1": { + "hide_name": 1, + "bits": [ 1451 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.f0": { + "hide_name": 1, + "bits": [ 1455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.f1": { + "hide_name": 1, + "bits": [ 1456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.f2": { + "hide_name": 1, + "bits": [ 1457 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.f3": { + "hide_name": 1, + "bits": [ 1458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.f4": { + "hide_name": 1, + "bits": [ 1459 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.f5": { + "hide_name": 1, + "bits": [ 1460 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.f6": { + "hide_name": 1, + "bits": [ 1461 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.f7": { + "hide_name": 1, + "bits": [ 1462 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.g0": { + "hide_name": 1, + "bits": [ 1463 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.g1": { + "hide_name": 1, + "bits": [ 1464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.g2": { + "hide_name": 1, + "bits": [ 1465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.g3": { + "hide_name": 1, + "bits": [ 1466 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.h0": { + "hide_name": 1, + "bits": [ 1467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39403.h1": { + "hide_name": 1, + "bits": [ 1468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39404.f0": { + "hide_name": 1, + "bits": [ 1471 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39404.f1": { + "hide_name": 1, + "bits": [ 1472 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39405.f0": { + "hide_name": 1, + "bits": [ 1475 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39405.f1": { + "hide_name": 1, + "bits": [ 1476 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.f0": { + "hide_name": 1, + "bits": [ 1480 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.f1": { + "hide_name": 1, + "bits": [ 1481 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.f2": { + "hide_name": 1, + "bits": [ 1482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.f3": { + "hide_name": 1, + "bits": [ 1483 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.f4": { + "hide_name": 1, + "bits": [ 1484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.f5": { + "hide_name": 1, + "bits": [ 1485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.f6": { + "hide_name": 1, + "bits": [ 1486 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.f7": { + "hide_name": 1, + "bits": [ 1487 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.g0": { + "hide_name": 1, + "bits": [ 1488 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.g1": { + "hide_name": 1, + "bits": [ 1489 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.g2": { + "hide_name": 1, + "bits": [ 1490 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.g3": { + "hide_name": 1, + "bits": [ 1491 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.h0": { + "hide_name": 1, + "bits": [ 1492 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39406.h1": { + "hide_name": 1, + "bits": [ 1493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.f0": { + "hide_name": 1, + "bits": [ 1495 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.f1": { + "hide_name": 1, + "bits": [ 1496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.f2": { + "hide_name": 1, + "bits": [ 1497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.f3": { + "hide_name": 1, + "bits": [ 1498 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.g0": { + "hide_name": 1, + "bits": [ 1500 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39408.g1": { + "hide_name": 1, + "bits": [ 1501 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.f0": { + "hide_name": 1, + "bits": [ 1503 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.f1": { + "hide_name": 1, + "bits": [ 1504 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.f2": { + "hide_name": 1, + "bits": [ 1505 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.f3": { + "hide_name": 1, + "bits": [ 1506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.g0": { + "hide_name": 1, + "bits": [ 1508 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39410.g1": { + "hide_name": 1, + "bits": [ 1509 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.f0": { + "hide_name": 1, + "bits": [ 1511 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.f1": { + "hide_name": 1, + "bits": [ 1512 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.f2": { + "hide_name": 1, + "bits": [ 1513 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.f3": { + "hide_name": 1, + "bits": [ 1514 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.g0": { + "hide_name": 1, + "bits": [ 1516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39412.g1": { + "hide_name": 1, + "bits": [ 1517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.f0": { + "hide_name": 1, + "bits": [ 1519 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.f1": { + "hide_name": 1, + "bits": [ 1520 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.f2": { + "hide_name": 1, + "bits": [ 1521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.f3": { + "hide_name": 1, + "bits": [ 1522 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.g0": { + "hide_name": 1, + "bits": [ 1524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39414.g1": { + "hide_name": 1, + "bits": [ 1525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.f0": { + "hide_name": 1, + "bits": [ 1527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.f1": { + "hide_name": 1, + "bits": [ 1528 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.f2": { + "hide_name": 1, + "bits": [ 1529 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.f3": { + "hide_name": 1, + "bits": [ 1530 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.g0": { + "hide_name": 1, + "bits": [ 1532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39416.g1": { + "hide_name": 1, + "bits": [ 1533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.f0": { + "hide_name": 1, + "bits": [ 1538 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.f1": { + "hide_name": 1, + "bits": [ 1539 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.f2": { + "hide_name": 1, + "bits": [ 1540 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.f3": { + "hide_name": 1, + "bits": [ 1541 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.f4": { + "hide_name": 1, + "bits": [ 1542 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.f5": { + "hide_name": 1, + "bits": [ 1543 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.f6": { + "hide_name": 1, + "bits": [ 1544 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.f7": { + "hide_name": 1, + "bits": [ 1545 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.g0": { + "hide_name": 1, + "bits": [ 1547 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.g1": { + "hide_name": 1, + "bits": [ 1548 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.g2": { + "hide_name": 1, + "bits": [ 1549 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.g3": { + "hide_name": 1, + "bits": [ 1550 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.h0": { + "hide_name": 1, + "bits": [ 1552 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39418.h1": { + "hide_name": 1, + "bits": [ 1553 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.f0": { + "hide_name": 1, + "bits": [ 1556 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.f1": { + "hide_name": 1, + "bits": [ 1557 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.f2": { + "hide_name": 1, + "bits": [ 1558 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.f3": { + "hide_name": 1, + "bits": [ 1559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.g0": { + "hide_name": 1, + "bits": [ 1561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39419.g1": { + "hide_name": 1, + "bits": [ 1562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.f0": { + "hide_name": 1, + "bits": [ 1564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.f1": { + "hide_name": 1, + "bits": [ 1565 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.f2": { + "hide_name": 1, + "bits": [ 1566 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.f3": { + "hide_name": 1, + "bits": [ 1567 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.g0": { + "hide_name": 1, + "bits": [ 1569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39421.g1": { + "hide_name": 1, + "bits": [ 1570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.f0": { + "hide_name": 1, + "bits": [ 1572 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.f1": { + "hide_name": 1, + "bits": [ 1573 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.f2": { + "hide_name": 1, + "bits": [ 1574 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.f3": { + "hide_name": 1, + "bits": [ 1575 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.g0": { + "hide_name": 1, + "bits": [ 1577 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39423.g1": { + "hide_name": 1, + "bits": [ 1578 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.f0": { + "hide_name": 1, + "bits": [ 1580 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.f1": { + "hide_name": 1, + "bits": [ 1581 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.f2": { + "hide_name": 1, + "bits": [ 1582 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.f3": { + "hide_name": 1, + "bits": [ 1583 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.g0": { + "hide_name": 1, + "bits": [ 1585 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39425.g1": { + "hide_name": 1, + "bits": [ 1586 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.f0": { + "hide_name": 1, + "bits": [ 1588 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.f1": { + "hide_name": 1, + "bits": [ 1589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.f2": { + "hide_name": 1, + "bits": [ 1590 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.f3": { + "hide_name": 1, + "bits": [ 1591 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.g0": { + "hide_name": 1, + "bits": [ 1593 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39427.g1": { + "hide_name": 1, + "bits": [ 1594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.f0": { + "hide_name": 1, + "bits": [ 1596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.f1": { + "hide_name": 1, + "bits": [ 1597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.f2": { + "hide_name": 1, + "bits": [ 1598 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.f3": { + "hide_name": 1, + "bits": [ 1599 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.g0": { + "hide_name": 1, + "bits": [ 1601 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39429.g1": { + "hide_name": 1, + "bits": [ 1602 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.f0": { + "hide_name": 1, + "bits": [ 1604 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.f1": { + "hide_name": 1, + "bits": [ 1605 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.f2": { + "hide_name": 1, + "bits": [ 1606 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.f3": { + "hide_name": 1, + "bits": [ 1607 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.g0": { + "hide_name": 1, + "bits": [ 1609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39431.g1": { + "hide_name": 1, + "bits": [ 1610 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.f0": { + "hide_name": 1, + "bits": [ 1615 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.f1": { + "hide_name": 1, + "bits": [ 1616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.f2": { + "hide_name": 1, + "bits": [ 1617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.f3": { + "hide_name": 1, + "bits": [ 1618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.f4": { + "hide_name": 1, + "bits": [ 1619 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.f5": { + "hide_name": 1, + "bits": [ 1620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.f6": { + "hide_name": 1, + "bits": [ 1621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.f7": { + "hide_name": 1, + "bits": [ 1622 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.g0": { + "hide_name": 1, + "bits": [ 1624 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.g1": { + "hide_name": 1, + "bits": [ 1625 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.g2": { + "hide_name": 1, + "bits": [ 1626 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.g3": { + "hide_name": 1, + "bits": [ 1627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.h0": { + "hide_name": 1, + "bits": [ 1629 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39433.h1": { + "hide_name": 1, + "bits": [ 1630 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.f0": { + "hide_name": 1, + "bits": [ 1633 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.f1": { + "hide_name": 1, + "bits": [ 1634 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.f2": { + "hide_name": 1, + "bits": [ 1635 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.f3": { + "hide_name": 1, + "bits": [ 1636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.g0": { + "hide_name": 1, + "bits": [ 1638 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39434.g1": { + "hide_name": 1, + "bits": [ 1639 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.f0": { + "hide_name": 1, + "bits": [ 1641 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.f1": { + "hide_name": 1, + "bits": [ 1642 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.f2": { + "hide_name": 1, + "bits": [ 1643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.f3": { + "hide_name": 1, + "bits": [ 1644 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.g0": { + "hide_name": 1, + "bits": [ 1646 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39436.g1": { + "hide_name": 1, + "bits": [ 1647 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.f0": { + "hide_name": 1, + "bits": [ 1649 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.f1": { + "hide_name": 1, + "bits": [ 1650 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.f2": { + "hide_name": 1, + "bits": [ 1651 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.f3": { + "hide_name": 1, + "bits": [ 1652 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.g0": { + "hide_name": 1, + "bits": [ 1654 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39438.g1": { + "hide_name": 1, + "bits": [ 1655 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.f0": { + "hide_name": 1, + "bits": [ 1657 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.f1": { + "hide_name": 1, + "bits": [ 1658 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.f2": { + "hide_name": 1, + "bits": [ 1659 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.f3": { + "hide_name": 1, + "bits": [ 1660 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.g0": { + "hide_name": 1, + "bits": [ 1662 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39440.g1": { + "hide_name": 1, + "bits": [ 1663 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.f0": { + "hide_name": 1, + "bits": [ 1665 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.f1": { + "hide_name": 1, + "bits": [ 1666 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.f2": { + "hide_name": 1, + "bits": [ 1667 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.f3": { + "hide_name": 1, + "bits": [ 1668 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.g0": { + "hide_name": 1, + "bits": [ 1670 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39442.g1": { + "hide_name": 1, + "bits": [ 1671 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.f0": { + "hide_name": 1, + "bits": [ 1673 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.f1": { + "hide_name": 1, + "bits": [ 1674 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.f2": { + "hide_name": 1, + "bits": [ 1675 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.f3": { + "hide_name": 1, + "bits": [ 1676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.g0": { + "hide_name": 1, + "bits": [ 1678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39444.g1": { + "hide_name": 1, + "bits": [ 1679 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.f0": { + "hide_name": 1, + "bits": [ 1681 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.f1": { + "hide_name": 1, + "bits": [ 1682 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.f2": { + "hide_name": 1, + "bits": [ 1683 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.f3": { + "hide_name": 1, + "bits": [ 1684 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.g0": { + "hide_name": 1, + "bits": [ 1686 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39446.g1": { + "hide_name": 1, + "bits": [ 1687 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.f0": { + "hide_name": 1, + "bits": [ 1692 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.f1": { + "hide_name": 1, + "bits": [ 1693 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.f2": { + "hide_name": 1, + "bits": [ 1694 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.f3": { + "hide_name": 1, + "bits": [ 1695 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.f4": { + "hide_name": 1, + "bits": [ 1696 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.f5": { + "hide_name": 1, + "bits": [ 1697 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.f6": { + "hide_name": 1, + "bits": [ 1698 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.f7": { + "hide_name": 1, + "bits": [ 1699 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.g0": { + "hide_name": 1, + "bits": [ 1701 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.g1": { + "hide_name": 1, + "bits": [ 1702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.g2": { + "hide_name": 1, + "bits": [ 1703 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.g3": { + "hide_name": 1, + "bits": [ 1704 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.h0": { + "hide_name": 1, + "bits": [ 1706 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39448.h1": { + "hide_name": 1, + "bits": [ 1707 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.f0": { + "hide_name": 1, + "bits": [ 1710 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.f1": { + "hide_name": 1, + "bits": [ 1711 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.f2": { + "hide_name": 1, + "bits": [ 1712 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.f3": { + "hide_name": 1, + "bits": [ 1713 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.g0": { + "hide_name": 1, + "bits": [ 1715 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39450.g1": { + "hide_name": 1, + "bits": [ 1716 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.f0": { + "hide_name": 1, + "bits": [ 1717 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.f1": { + "hide_name": 1, + "bits": [ 1718 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.f2": { + "hide_name": 1, + "bits": [ 1719 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.f3": { + "hide_name": 1, + "bits": [ 1720 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.g0": { + "hide_name": 1, + "bits": [ 1721 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39452.g1": { + "hide_name": 1, + "bits": [ 1722 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.f0": { + "hide_name": 1, + "bits": [ 1728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.f1": { + "hide_name": 1, + "bits": [ 1729 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.f2": { + "hide_name": 1, + "bits": [ 1730 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.f3": { + "hide_name": 1, + "bits": [ 1731 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.f4": { + "hide_name": 1, + "bits": [ 1732 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.f5": { + "hide_name": 1, + "bits": [ 1733 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.f6": { + "hide_name": 1, + "bits": [ 1734 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.f7": { + "hide_name": 1, + "bits": [ 1735 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.g0": { + "hide_name": 1, + "bits": [ 1737 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.g1": { + "hide_name": 1, + "bits": [ 1738 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.g2": { + "hide_name": 1, + "bits": [ 1739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.g3": { + "hide_name": 1, + "bits": [ 1740 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.h0": { + "hide_name": 1, + "bits": [ 1742 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39455.h1": { + "hide_name": 1, + "bits": [ 1743 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.f0": { + "hide_name": 1, + "bits": [ 1748 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.f1": { + "hide_name": 1, + "bits": [ 1749 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.f2": { + "hide_name": 1, + "bits": [ 1750 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.f3": { + "hide_name": 1, + "bits": [ 1751 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.f4": { + "hide_name": 1, + "bits": [ 1752 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.f5": { + "hide_name": 1, + "bits": [ 1753 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.f6": { + "hide_name": 1, + "bits": [ 1754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.f7": { + "hide_name": 1, + "bits": [ 1755 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.g0": { + "hide_name": 1, + "bits": [ 1756 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.g1": { + "hide_name": 1, + "bits": [ 1757 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.g2": { + "hide_name": 1, + "bits": [ 1758 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.g3": { + "hide_name": 1, + "bits": [ 1759 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.h0": { + "hide_name": 1, + "bits": [ 1760 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39459.h1": { + "hide_name": 1, + "bits": [ 1761 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.f0": { + "hide_name": 1, + "bits": [ 1763 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.f1": { + "hide_name": 1, + "bits": [ 1764 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.f2": { + "hide_name": 1, + "bits": [ 1765 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.f3": { + "hide_name": 1, + "bits": [ 1766 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.g0": { + "hide_name": 1, + "bits": [ 1768 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39460.g1": { + "hide_name": 1, + "bits": [ 1769 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.f0": { + "hide_name": 1, + "bits": [ 1771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.f1": { + "hide_name": 1, + "bits": [ 1772 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.f2": { + "hide_name": 1, + "bits": [ 1773 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.f3": { + "hide_name": 1, + "bits": [ 1774 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.g0": { + "hide_name": 1, + "bits": [ 1776 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39462.g1": { + "hide_name": 1, + "bits": [ 1777 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.f0": { + "hide_name": 1, + "bits": [ 1779 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.f1": { + "hide_name": 1, + "bits": [ 1780 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.f2": { + "hide_name": 1, + "bits": [ 1781 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.f3": { + "hide_name": 1, + "bits": [ 1782 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.g0": { + "hide_name": 1, + "bits": [ 1784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39464.g1": { + "hide_name": 1, + "bits": [ 1785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.f0": { + "hide_name": 1, + "bits": [ 1787 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.f1": { + "hide_name": 1, + "bits": [ 1788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.f2": { + "hide_name": 1, + "bits": [ 1789 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.f3": { + "hide_name": 1, + "bits": [ 1790 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.g0": { + "hide_name": 1, + "bits": [ 1792 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39466.g1": { + "hide_name": 1, + "bits": [ 1793 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.f0": { + "hide_name": 1, + "bits": [ 1805 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.f1": { + "hide_name": 1, + "bits": [ 1806 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.f2": { + "hide_name": 1, + "bits": [ 1807 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.f3": { + "hide_name": 1, + "bits": [ 1808 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.f4": { + "hide_name": 1, + "bits": [ 1809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.f5": { + "hide_name": 1, + "bits": [ 1810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.f6": { + "hide_name": 1, + "bits": [ 1811 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.f7": { + "hide_name": 1, + "bits": [ 1812 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.g0": { + "hide_name": 1, + "bits": [ 1814 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.g1": { + "hide_name": 1, + "bits": [ 1815 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.g2": { + "hide_name": 1, + "bits": [ 1816 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.g3": { + "hide_name": 1, + "bits": [ 1817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.h0": { + "hide_name": 1, + "bits": [ 1819 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39476.h1": { + "hide_name": 1, + "bits": [ 1820 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39479.f0": { + "hide_name": 1, + "bits": [ 1826 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39479.f1": { + "hide_name": 1, + "bits": [ 1827 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.f0": { + "hide_name": 1, + "bits": [ 1837 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.f1": { + "hide_name": 1, + "bits": [ 1838 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.f2": { + "hide_name": 1, + "bits": [ 1839 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.f3": { + "hide_name": 1, + "bits": [ 1840 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.f4": { + "hide_name": 1, + "bits": [ 1841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.f5": { + "hide_name": 1, + "bits": [ 1842 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.f6": { + "hide_name": 1, + "bits": [ 1843 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.f7": { + "hide_name": 1, + "bits": [ 1844 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.g0": { + "hide_name": 1, + "bits": [ 1846 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.g1": { + "hide_name": 1, + "bits": [ 1847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.g2": { + "hide_name": 1, + "bits": [ 1848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.g3": { + "hide_name": 1, + "bits": [ 1849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.h0": { + "hide_name": 1, + "bits": [ 1851 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39485.h1": { + "hide_name": 1, + "bits": [ 1852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39494.f0": { + "hide_name": 1, + "bits": [ 1864 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39494.f1": { + "hide_name": 1, + "bits": [ 1865 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.f0": { + "hide_name": 1, + "bits": [ 1870 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.f1": { + "hide_name": 1, + "bits": [ 1871 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.f2": { + "hide_name": 1, + "bits": [ 1872 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.f3": { + "hide_name": 1, + "bits": [ 1873 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.f4": { + "hide_name": 1, + "bits": [ 1874 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.f5": { + "hide_name": 1, + "bits": [ 1875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.f6": { + "hide_name": 1, + "bits": [ 1876 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.f7": { + "hide_name": 1, + "bits": [ 1877 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.g0": { + "hide_name": 1, + "bits": [ 1879 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.g1": { + "hide_name": 1, + "bits": [ 1880 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.g2": { + "hide_name": 1, + "bits": [ 1881 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.g3": { + "hide_name": 1, + "bits": [ 1882 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.h0": { + "hide_name": 1, + "bits": [ 1884 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39495.h1": { + "hide_name": 1, + "bits": [ 1885 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39499.f0": { + "hide_name": 1, + "bits": [ 1892 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39499.f1": { + "hide_name": 1, + "bits": [ 1893 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.f0": { + "hide_name": 1, + "bits": [ 1900 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.f1": { + "hide_name": 1, + "bits": [ 1901 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.f2": { + "hide_name": 1, + "bits": [ 1902 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.f3": { + "hide_name": 1, + "bits": [ 1903 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.f4": { + "hide_name": 1, + "bits": [ 1904 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.f5": { + "hide_name": 1, + "bits": [ 1905 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.f6": { + "hide_name": 1, + "bits": [ 1906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.f7": { + "hide_name": 1, + "bits": [ 1907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.g0": { + "hide_name": 1, + "bits": [ 1908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.g1": { + "hide_name": 1, + "bits": [ 1909 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.g2": { + "hide_name": 1, + "bits": [ 1910 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.g3": { + "hide_name": 1, + "bits": [ 1911 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.h0": { + "hide_name": 1, + "bits": [ 1913 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39506.h1": { + "hide_name": 1, + "bits": [ 1914 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.f0": { + "hide_name": 1, + "bits": [ 1916 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.f1": { + "hide_name": 1, + "bits": [ 1917 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.f2": { + "hide_name": 1, + "bits": [ 1918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.f3": { + "hide_name": 1, + "bits": [ 1919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.f4": { + "hide_name": 1, + "bits": [ 1920 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.f5": { + "hide_name": 1, + "bits": [ 1921 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.f6": { + "hide_name": 1, + "bits": [ 1922 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.f7": { + "hide_name": 1, + "bits": [ 1923 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.g0": { + "hide_name": 1, + "bits": [ 1924 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.g1": { + "hide_name": 1, + "bits": [ 1925 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.g2": { + "hide_name": 1, + "bits": [ 1926 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.g3": { + "hide_name": 1, + "bits": [ 1927 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.h0": { + "hide_name": 1, + "bits": [ 1928 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39508.h1": { + "hide_name": 1, + "bits": [ 1929 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.f0": { + "hide_name": 1, + "bits": [ 1931 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.f1": { + "hide_name": 1, + "bits": [ 1932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.f2": { + "hide_name": 1, + "bits": [ 1933 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.f3": { + "hide_name": 1, + "bits": [ 1934 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.f4": { + "hide_name": 1, + "bits": [ 1935 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.f5": { + "hide_name": 1, + "bits": [ 1936 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.f6": { + "hide_name": 1, + "bits": [ 1937 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.f7": { + "hide_name": 1, + "bits": [ 1938 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.g0": { + "hide_name": 1, + "bits": [ 1939 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.g1": { + "hide_name": 1, + "bits": [ 1940 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.g2": { + "hide_name": 1, + "bits": [ 1941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.g3": { + "hide_name": 1, + "bits": [ 1942 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.h0": { + "hide_name": 1, + "bits": [ 1943 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39509.h1": { + "hide_name": 1, + "bits": [ 1944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.f0": { + "hide_name": 1, + "bits": [ 1947 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.f1": { + "hide_name": 1, + "bits": [ 1948 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.f2": { + "hide_name": 1, + "bits": [ 1949 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.f3": { + "hide_name": 1, + "bits": [ 1950 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.f4": { + "hide_name": 1, + "bits": [ 1951 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.f5": { + "hide_name": 1, + "bits": [ 1952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.f6": { + "hide_name": 1, + "bits": [ 1953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.f7": { + "hide_name": 1, + "bits": [ 1954 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.g0": { + "hide_name": 1, + "bits": [ 1955 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.g1": { + "hide_name": 1, + "bits": [ 1956 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.g2": { + "hide_name": 1, + "bits": [ 1957 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.g3": { + "hide_name": 1, + "bits": [ 1958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.h0": { + "hide_name": 1, + "bits": [ 1960 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39510.h1": { + "hide_name": 1, + "bits": [ 1961 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39511.f0": { + "hide_name": 1, + "bits": [ 1963 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39511.f1": { + "hide_name": 1, + "bits": [ 1964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.f0": { + "hide_name": 1, + "bits": [ 1966 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.f1": { + "hide_name": 1, + "bits": [ 1967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.f2": { + "hide_name": 1, + "bits": [ 1968 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.f3": { + "hide_name": 1, + "bits": [ 1969 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.f4": { + "hide_name": 1, + "bits": [ 1970 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.f5": { + "hide_name": 1, + "bits": [ 1971 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.f6": { + "hide_name": 1, + "bits": [ 1972 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.f7": { + "hide_name": 1, + "bits": [ 1973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.g0": { + "hide_name": 1, + "bits": [ 1974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.g1": { + "hide_name": 1, + "bits": [ 1975 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.g2": { + "hide_name": 1, + "bits": [ 1976 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.g3": { + "hide_name": 1, + "bits": [ 1977 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.h0": { + "hide_name": 1, + "bits": [ 1978 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39512.h1": { + "hide_name": 1, + "bits": [ 1979 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.f0": { + "hide_name": 1, + "bits": [ 1981 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.f1": { + "hide_name": 1, + "bits": [ 1982 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.f2": { + "hide_name": 1, + "bits": [ 1983 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.f3": { + "hide_name": 1, + "bits": [ 1984 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.f4": { + "hide_name": 1, + "bits": [ 1985 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.f5": { + "hide_name": 1, + "bits": [ 1986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.f6": { + "hide_name": 1, + "bits": [ 1987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.f7": { + "hide_name": 1, + "bits": [ 1988 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.g0": { + "hide_name": 1, + "bits": [ 1989 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.g1": { + "hide_name": 1, + "bits": [ 1990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.g2": { + "hide_name": 1, + "bits": [ 1991 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.g3": { + "hide_name": 1, + "bits": [ 1992 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.h0": { + "hide_name": 1, + "bits": [ 1994 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39513.h1": { + "hide_name": 1, + "bits": [ 1995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.f0": { + "hide_name": 1, + "bits": [ 2001 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.f1": { + "hide_name": 1, + "bits": [ 2002 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.f2": { + "hide_name": 1, + "bits": [ 2003 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.f3": { + "hide_name": 1, + "bits": [ 2004 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.f4": { + "hide_name": 1, + "bits": [ 2005 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.f5": { + "hide_name": 1, + "bits": [ 2006 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.f6": { + "hide_name": 1, + "bits": [ 2007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.f7": { + "hide_name": 1, + "bits": [ 2008 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.g0": { + "hide_name": 1, + "bits": [ 2009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.g1": { + "hide_name": 1, + "bits": [ 2010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.g2": { + "hide_name": 1, + "bits": [ 2011 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.g3": { + "hide_name": 1, + "bits": [ 2012 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.h0": { + "hide_name": 1, + "bits": [ 2014 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39516.h1": { + "hide_name": 1, + "bits": [ 2015 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.f0": { + "hide_name": 1, + "bits": [ 2017 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.f1": { + "hide_name": 1, + "bits": [ 2018 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.f2": { + "hide_name": 1, + "bits": [ 2019 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.f3": { + "hide_name": 1, + "bits": [ 2020 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.f4": { + "hide_name": 1, + "bits": [ 2021 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.f5": { + "hide_name": 1, + "bits": [ 2022 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.f6": { + "hide_name": 1, + "bits": [ 2023 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.f7": { + "hide_name": 1, + "bits": [ 2024 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.g0": { + "hide_name": 1, + "bits": [ 2025 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.g1": { + "hide_name": 1, + "bits": [ 2026 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.g2": { + "hide_name": 1, + "bits": [ 2027 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.g3": { + "hide_name": 1, + "bits": [ 2028 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.h0": { + "hide_name": 1, + "bits": [ 2029 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39518.h1": { + "hide_name": 1, + "bits": [ 2030 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.f0": { + "hide_name": 1, + "bits": [ 2032 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.f1": { + "hide_name": 1, + "bits": [ 2033 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.f2": { + "hide_name": 1, + "bits": [ 2034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.f3": { + "hide_name": 1, + "bits": [ 2035 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.f4": { + "hide_name": 1, + "bits": [ 2036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.f5": { + "hide_name": 1, + "bits": [ 2037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.f6": { + "hide_name": 1, + "bits": [ 2038 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.f7": { + "hide_name": 1, + "bits": [ 2039 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.g0": { + "hide_name": 1, + "bits": [ 2040 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.g1": { + "hide_name": 1, + "bits": [ 2041 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.g2": { + "hide_name": 1, + "bits": [ 2042 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.g3": { + "hide_name": 1, + "bits": [ 2043 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.h0": { + "hide_name": 1, + "bits": [ 2044 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39519.h1": { + "hide_name": 1, + "bits": [ 2045 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.f0": { + "hide_name": 1, + "bits": [ 2047 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.f1": { + "hide_name": 1, + "bits": [ 2048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.f2": { + "hide_name": 1, + "bits": [ 2049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.f3": { + "hide_name": 1, + "bits": [ 2050 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.f4": { + "hide_name": 1, + "bits": [ 2051 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.f5": { + "hide_name": 1, + "bits": [ 2052 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.f6": { + "hide_name": 1, + "bits": [ 2053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.f7": { + "hide_name": 1, + "bits": [ 2054 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.g0": { + "hide_name": 1, + "bits": [ 2055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.g1": { + "hide_name": 1, + "bits": [ 2056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.g2": { + "hide_name": 1, + "bits": [ 2057 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.g3": { + "hide_name": 1, + "bits": [ 2058 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.h0": { + "hide_name": 1, + "bits": [ 2060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39520.h1": { + "hide_name": 1, + "bits": [ 2061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.f0": { + "hide_name": 1, + "bits": [ 2064 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.f1": { + "hide_name": 1, + "bits": [ 2065 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.f2": { + "hide_name": 1, + "bits": [ 2066 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.f3": { + "hide_name": 1, + "bits": [ 2067 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.f4": { + "hide_name": 1, + "bits": [ 2068 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.f5": { + "hide_name": 1, + "bits": [ 2069 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.f6": { + "hide_name": 1, + "bits": [ 2070 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.f7": { + "hide_name": 1, + "bits": [ 2071 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.g0": { + "hide_name": 1, + "bits": [ 2073 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.g1": { + "hide_name": 1, + "bits": [ 2074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.g2": { + "hide_name": 1, + "bits": [ 2075 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.g3": { + "hide_name": 1, + "bits": [ 2076 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.h0": { + "hide_name": 1, + "bits": [ 2078 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39522.h1": { + "hide_name": 1, + "bits": [ 2079 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.f0": { + "hide_name": 1, + "bits": [ 2081 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.f1": { + "hide_name": 1, + "bits": [ 2082 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.f2": { + "hide_name": 1, + "bits": [ 2083 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.f3": { + "hide_name": 1, + "bits": [ 2084 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.f4": { + "hide_name": 1, + "bits": [ 2085 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.f5": { + "hide_name": 1, + "bits": [ 2086 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.f6": { + "hide_name": 1, + "bits": [ 2087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.f7": { + "hide_name": 1, + "bits": [ 2088 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.g0": { + "hide_name": 1, + "bits": [ 2089 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.g1": { + "hide_name": 1, + "bits": [ 2090 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.g2": { + "hide_name": 1, + "bits": [ 2091 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.g3": { + "hide_name": 1, + "bits": [ 2092 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.h0": { + "hide_name": 1, + "bits": [ 2093 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39523.h1": { + "hide_name": 1, + "bits": [ 2094 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.f0": { + "hide_name": 1, + "bits": [ 2096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.f1": { + "hide_name": 1, + "bits": [ 2097 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.f2": { + "hide_name": 1, + "bits": [ 2098 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.f3": { + "hide_name": 1, + "bits": [ 2099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.f4": { + "hide_name": 1, + "bits": [ 2100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.f5": { + "hide_name": 1, + "bits": [ 2101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.f6": { + "hide_name": 1, + "bits": [ 2102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.f7": { + "hide_name": 1, + "bits": [ 2103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.g0": { + "hide_name": 1, + "bits": [ 2104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.g1": { + "hide_name": 1, + "bits": [ 2105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.g2": { + "hide_name": 1, + "bits": [ 2106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.g3": { + "hide_name": 1, + "bits": [ 2107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.h0": { + "hide_name": 1, + "bits": [ 2108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39524.h1": { + "hide_name": 1, + "bits": [ 2109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.f0": { + "hide_name": 1, + "bits": [ 2114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.f1": { + "hide_name": 1, + "bits": [ 2115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.f2": { + "hide_name": 1, + "bits": [ 2116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.f3": { + "hide_name": 1, + "bits": [ 2117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.f4": { + "hide_name": 1, + "bits": [ 2118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.f5": { + "hide_name": 1, + "bits": [ 2119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.f6": { + "hide_name": 1, + "bits": [ 2120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.f7": { + "hide_name": 1, + "bits": [ 2121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.g0": { + "hide_name": 1, + "bits": [ 2123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.g1": { + "hide_name": 1, + "bits": [ 2124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.g2": { + "hide_name": 1, + "bits": [ 2125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.g3": { + "hide_name": 1, + "bits": [ 2126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.h0": { + "hide_name": 1, + "bits": [ 2128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39525.h1": { + "hide_name": 1, + "bits": [ 2129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.f0": { + "hide_name": 1, + "bits": [ 2134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.f1": { + "hide_name": 1, + "bits": [ 2135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.f2": { + "hide_name": 1, + "bits": [ 2136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.f3": { + "hide_name": 1, + "bits": [ 2137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.f4": { + "hide_name": 1, + "bits": [ 2138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.f5": { + "hide_name": 1, + "bits": [ 2139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.f6": { + "hide_name": 1, + "bits": [ 2140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.f7": { + "hide_name": 1, + "bits": [ 2141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.g0": { + "hide_name": 1, + "bits": [ 2142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.g1": { + "hide_name": 1, + "bits": [ 2143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.g2": { + "hide_name": 1, + "bits": [ 2144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.g3": { + "hide_name": 1, + "bits": [ 2145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.h0": { + "hide_name": 1, + "bits": [ 2146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39527.h1": { + "hide_name": 1, + "bits": [ 2147 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.f0": { + "hide_name": 1, + "bits": [ 2149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.f1": { + "hide_name": 1, + "bits": [ 2150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.f2": { + "hide_name": 1, + "bits": [ 2151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.f3": { + "hide_name": 1, + "bits": [ 2152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.f4": { + "hide_name": 1, + "bits": [ 2153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.f5": { + "hide_name": 1, + "bits": [ 2154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.f6": { + "hide_name": 1, + "bits": [ 2155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.f7": { + "hide_name": 1, + "bits": [ 2156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.g0": { + "hide_name": 1, + "bits": [ 2157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.g1": { + "hide_name": 1, + "bits": [ 2158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.g2": { + "hide_name": 1, + "bits": [ 2159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.g3": { + "hide_name": 1, + "bits": [ 2160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.h0": { + "hide_name": 1, + "bits": [ 2161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39528.h1": { + "hide_name": 1, + "bits": [ 2162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.f0": { + "hide_name": 1, + "bits": [ 2166 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.f1": { + "hide_name": 1, + "bits": [ 2167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.f2": { + "hide_name": 1, + "bits": [ 2168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.f3": { + "hide_name": 1, + "bits": [ 2169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.f4": { + "hide_name": 1, + "bits": [ 2170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.f5": { + "hide_name": 1, + "bits": [ 2171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.f6": { + "hide_name": 1, + "bits": [ 2172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.f7": { + "hide_name": 1, + "bits": [ 2173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.g0": { + "hide_name": 1, + "bits": [ 2174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.g1": { + "hide_name": 1, + "bits": [ 2175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.g2": { + "hide_name": 1, + "bits": [ 2176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.g3": { + "hide_name": 1, + "bits": [ 2177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.h0": { + "hide_name": 1, + "bits": [ 2178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39530.h1": { + "hide_name": 1, + "bits": [ 2179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.f0": { + "hide_name": 1, + "bits": [ 2181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.f1": { + "hide_name": 1, + "bits": [ 2182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.f2": { + "hide_name": 1, + "bits": [ 2183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.f3": { + "hide_name": 1, + "bits": [ 2184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.f4": { + "hide_name": 1, + "bits": [ 2185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.f5": { + "hide_name": 1, + "bits": [ 2186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.f6": { + "hide_name": 1, + "bits": [ 2187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.f7": { + "hide_name": 1, + "bits": [ 2188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.g0": { + "hide_name": 1, + "bits": [ 2189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.g1": { + "hide_name": 1, + "bits": [ 2190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.g2": { + "hide_name": 1, + "bits": [ 2191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.g3": { + "hide_name": 1, + "bits": [ 2192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.h0": { + "hide_name": 1, + "bits": [ 2193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39531.h1": { + "hide_name": 1, + "bits": [ 2194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.f0": { + "hide_name": 1, + "bits": [ 2200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.f1": { + "hide_name": 1, + "bits": [ 2201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.f2": { + "hide_name": 1, + "bits": [ 2202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.f3": { + "hide_name": 1, + "bits": [ 2203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.f4": { + "hide_name": 1, + "bits": [ 2204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.f5": { + "hide_name": 1, + "bits": [ 2205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.f6": { + "hide_name": 1, + "bits": [ 2206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.f7": { + "hide_name": 1, + "bits": [ 2207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.g0": { + "hide_name": 1, + "bits": [ 2208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.g1": { + "hide_name": 1, + "bits": [ 2209 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.g2": { + "hide_name": 1, + "bits": [ 2210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.g3": { + "hide_name": 1, + "bits": [ 2211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.h0": { + "hide_name": 1, + "bits": [ 2212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39535.h1": { + "hide_name": 1, + "bits": [ 2213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.f0": { + "hide_name": 1, + "bits": [ 2219 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.f1": { + "hide_name": 1, + "bits": [ 2220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.f2": { + "hide_name": 1, + "bits": [ 2221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.f3": { + "hide_name": 1, + "bits": [ 2222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.f4": { + "hide_name": 1, + "bits": [ 2223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.f5": { + "hide_name": 1, + "bits": [ 2224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.f6": { + "hide_name": 1, + "bits": [ 2225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.f7": { + "hide_name": 1, + "bits": [ 2226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.g0": { + "hide_name": 1, + "bits": [ 2227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.g1": { + "hide_name": 1, + "bits": [ 2228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.g2": { + "hide_name": 1, + "bits": [ 2229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.g3": { + "hide_name": 1, + "bits": [ 2230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.h0": { + "hide_name": 1, + "bits": [ 2231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39537.h1": { + "hide_name": 1, + "bits": [ 2232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.f0": { + "hide_name": 1, + "bits": [ 2234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.f1": { + "hide_name": 1, + "bits": [ 2235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.f2": { + "hide_name": 1, + "bits": [ 2236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.f3": { + "hide_name": 1, + "bits": [ 2237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.f4": { + "hide_name": 1, + "bits": [ 2238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.f5": { + "hide_name": 1, + "bits": [ 2239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.f6": { + "hide_name": 1, + "bits": [ 2240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.f7": { + "hide_name": 1, + "bits": [ 2241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.g0": { + "hide_name": 1, + "bits": [ 2242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.g1": { + "hide_name": 1, + "bits": [ 2243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.g2": { + "hide_name": 1, + "bits": [ 2244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.g3": { + "hide_name": 1, + "bits": [ 2245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.h0": { + "hide_name": 1, + "bits": [ 2247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39538.h1": { + "hide_name": 1, + "bits": [ 2248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.f0": { + "hide_name": 1, + "bits": [ 2250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.f1": { + "hide_name": 1, + "bits": [ 2251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.f2": { + "hide_name": 1, + "bits": [ 2252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.f3": { + "hide_name": 1, + "bits": [ 2253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.f4": { + "hide_name": 1, + "bits": [ 2254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.f5": { + "hide_name": 1, + "bits": [ 2255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.f6": { + "hide_name": 1, + "bits": [ 2256 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.f7": { + "hide_name": 1, + "bits": [ 2257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.g0": { + "hide_name": 1, + "bits": [ 2258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.g1": { + "hide_name": 1, + "bits": [ 2259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.g2": { + "hide_name": 1, + "bits": [ 2260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.g3": { + "hide_name": 1, + "bits": [ 2261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.h0": { + "hide_name": 1, + "bits": [ 2262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39540.h1": { + "hide_name": 1, + "bits": [ 2263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.f0": { + "hide_name": 1, + "bits": [ 2265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.f1": { + "hide_name": 1, + "bits": [ 2266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.f2": { + "hide_name": 1, + "bits": [ 2267 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.f3": { + "hide_name": 1, + "bits": [ 2268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.f4": { + "hide_name": 1, + "bits": [ 2269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.f5": { + "hide_name": 1, + "bits": [ 2270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.f6": { + "hide_name": 1, + "bits": [ 2271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.f7": { + "hide_name": 1, + "bits": [ 2272 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.g0": { + "hide_name": 1, + "bits": [ 2273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.g1": { + "hide_name": 1, + "bits": [ 2274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.g2": { + "hide_name": 1, + "bits": [ 2275 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.g3": { + "hide_name": 1, + "bits": [ 2276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.h0": { + "hide_name": 1, + "bits": [ 2278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39541.h1": { + "hide_name": 1, + "bits": [ 2279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.f0": { + "hide_name": 1, + "bits": [ 2282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.f1": { + "hide_name": 1, + "bits": [ 2283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.f2": { + "hide_name": 1, + "bits": [ 2284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.f3": { + "hide_name": 1, + "bits": [ 2285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.f4": { + "hide_name": 1, + "bits": [ 2286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.f5": { + "hide_name": 1, + "bits": [ 2287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.f6": { + "hide_name": 1, + "bits": [ 2288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.f7": { + "hide_name": 1, + "bits": [ 2289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.g0": { + "hide_name": 1, + "bits": [ 2291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.g1": { + "hide_name": 1, + "bits": [ 2292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.g2": { + "hide_name": 1, + "bits": [ 2293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.g3": { + "hide_name": 1, + "bits": [ 2294 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.h0": { + "hide_name": 1, + "bits": [ 2296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39543.h1": { + "hide_name": 1, + "bits": [ 2297 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.f0": { + "hide_name": 1, + "bits": [ 2299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.f1": { + "hide_name": 1, + "bits": [ 2300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.f2": { + "hide_name": 1, + "bits": [ 2301 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.f3": { + "hide_name": 1, + "bits": [ 2302 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.f4": { + "hide_name": 1, + "bits": [ 2303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.f5": { + "hide_name": 1, + "bits": [ 2304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.f6": { + "hide_name": 1, + "bits": [ 2305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.f7": { + "hide_name": 1, + "bits": [ 2306 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.g0": { + "hide_name": 1, + "bits": [ 2307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.g1": { + "hide_name": 1, + "bits": [ 2308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.g2": { + "hide_name": 1, + "bits": [ 2309 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.g3": { + "hide_name": 1, + "bits": [ 2310 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.h0": { + "hide_name": 1, + "bits": [ 2311 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39544.h1": { + "hide_name": 1, + "bits": [ 2312 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.f0": { + "hide_name": 1, + "bits": [ 2314 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.f1": { + "hide_name": 1, + "bits": [ 2315 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.f2": { + "hide_name": 1, + "bits": [ 2316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.f3": { + "hide_name": 1, + "bits": [ 2317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.f4": { + "hide_name": 1, + "bits": [ 2318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.f5": { + "hide_name": 1, + "bits": [ 2319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.f6": { + "hide_name": 1, + "bits": [ 2320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.f7": { + "hide_name": 1, + "bits": [ 2321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.g0": { + "hide_name": 1, + "bits": [ 2322 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.g1": { + "hide_name": 1, + "bits": [ 2323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.g2": { + "hide_name": 1, + "bits": [ 2324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.g3": { + "hide_name": 1, + "bits": [ 2325 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.h0": { + "hide_name": 1, + "bits": [ 2327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39545.h1": { + "hide_name": 1, + "bits": [ 2328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39547.f0": { + "hide_name": 1, + "bits": [ 2331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39547.f1": { + "hide_name": 1, + "bits": [ 2332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39549.f0": { + "hide_name": 1, + "bits": [ 2334 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39549.f1": { + "hide_name": 1, + "bits": [ 2335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39550.f0": { + "hide_name": 1, + "bits": [ 2337 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39550.f1": { + "hide_name": 1, + "bits": [ 2338 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39551.f0": { + "hide_name": 1, + "bits": [ 2340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39551.f1": { + "hide_name": 1, + "bits": [ 2341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.f0": { + "hide_name": 1, + "bits": [ 2346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.f1": { + "hide_name": 1, + "bits": [ 2347 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.f2": { + "hide_name": 1, + "bits": [ 2348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.f3": { + "hide_name": 1, + "bits": [ 2349 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.f4": { + "hide_name": 1, + "bits": [ 2350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.f5": { + "hide_name": 1, + "bits": [ 2351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.f6": { + "hide_name": 1, + "bits": [ 2352 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.f7": { + "hide_name": 1, + "bits": [ 2353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.g0": { + "hide_name": 1, + "bits": [ 2355 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.g1": { + "hide_name": 1, + "bits": [ 2356 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.g2": { + "hide_name": 1, + "bits": [ 2357 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.g3": { + "hide_name": 1, + "bits": [ 2358 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.h0": { + "hide_name": 1, + "bits": [ 2360 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39552.h1": { + "hide_name": 1, + "bits": [ 2361 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39553.f0": { + "hide_name": 1, + "bits": [ 2364 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39553.f1": { + "hide_name": 1, + "bits": [ 2365 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39554.f0": { + "hide_name": 1, + "bits": [ 2367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39554.f1": { + "hide_name": 1, + "bits": [ 2368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39555.f0": { + "hide_name": 1, + "bits": [ 2370 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39555.f1": { + "hide_name": 1, + "bits": [ 2371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39556.f0": { + "hide_name": 1, + "bits": [ 2373 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39556.f1": { + "hide_name": 1, + "bits": [ 2374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39557.f0": { + "hide_name": 1, + "bits": [ 2376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39557.f1": { + "hide_name": 1, + "bits": [ 2377 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39558.f0": { + "hide_name": 1, + "bits": [ 2379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39558.f1": { + "hide_name": 1, + "bits": [ 2380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39559.f0": { + "hide_name": 1, + "bits": [ 2382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39559.f1": { + "hide_name": 1, + "bits": [ 2383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.f0": { + "hide_name": 1, + "bits": [ 2388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.f1": { + "hide_name": 1, + "bits": [ 2389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.f2": { + "hide_name": 1, + "bits": [ 2390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.f3": { + "hide_name": 1, + "bits": [ 2391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.g0": { + "hide_name": 1, + "bits": [ 2393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39560.g1": { + "hide_name": 1, + "bits": [ 2394 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.f0": { + "hide_name": 1, + "bits": [ 2398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.f1": { + "hide_name": 1, + "bits": [ 2399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.f2": { + "hide_name": 1, + "bits": [ 2400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.f3": { + "hide_name": 1, + "bits": [ 2401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.f4": { + "hide_name": 1, + "bits": [ 2402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.f5": { + "hide_name": 1, + "bits": [ 2403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.f6": { + "hide_name": 1, + "bits": [ 2404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.f7": { + "hide_name": 1, + "bits": [ 2405 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.g0": { + "hide_name": 1, + "bits": [ 2407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.g1": { + "hide_name": 1, + "bits": [ 2408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.g2": { + "hide_name": 1, + "bits": [ 2409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.g3": { + "hide_name": 1, + "bits": [ 2410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.h0": { + "hide_name": 1, + "bits": [ 2411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39561.h1": { + "hide_name": 1, + "bits": [ 2412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.f0": { + "hide_name": 1, + "bits": [ 2415 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.f1": { + "hide_name": 1, + "bits": [ 2416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.f2": { + "hide_name": 1, + "bits": [ 2417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.f3": { + "hide_name": 1, + "bits": [ 2418 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.f4": { + "hide_name": 1, + "bits": [ 2419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.f5": { + "hide_name": 1, + "bits": [ 2420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.f6": { + "hide_name": 1, + "bits": [ 2421 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.f7": { + "hide_name": 1, + "bits": [ 2422 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.g0": { + "hide_name": 1, + "bits": [ 2423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.g1": { + "hide_name": 1, + "bits": [ 2424 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.g2": { + "hide_name": 1, + "bits": [ 2425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.g3": { + "hide_name": 1, + "bits": [ 2426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.h0": { + "hide_name": 1, + "bits": [ 2427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39563.h1": { + "hide_name": 1, + "bits": [ 2428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39564.f0": { + "hide_name": 1, + "bits": [ 2430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39564.f1": { + "hide_name": 1, + "bits": [ 2431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39565.f0": { + "hide_name": 1, + "bits": [ 2433 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39565.f1": { + "hide_name": 1, + "bits": [ 2434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39566.f0": { + "hide_name": 1, + "bits": [ 2437 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39566.f1": { + "hide_name": 1, + "bits": [ 2438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39568.f0": { + "hide_name": 1, + "bits": [ 2440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39568.f1": { + "hide_name": 1, + "bits": [ 2441 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.f0": { + "hide_name": 1, + "bits": [ 2446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.f1": { + "hide_name": 1, + "bits": [ 2447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.f2": { + "hide_name": 1, + "bits": [ 2448 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.f3": { + "hide_name": 1, + "bits": [ 2449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.f4": { + "hide_name": 1, + "bits": [ 2450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.f5": { + "hide_name": 1, + "bits": [ 2451 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.f6": { + "hide_name": 1, + "bits": [ 2452 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.f7": { + "hide_name": 1, + "bits": [ 2453 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.g0": { + "hide_name": 1, + "bits": [ 2455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.g1": { + "hide_name": 1, + "bits": [ 2456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.g2": { + "hide_name": 1, + "bits": [ 2457 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.g3": { + "hide_name": 1, + "bits": [ 2458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.h0": { + "hide_name": 1, + "bits": [ 2460 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39569.h1": { + "hide_name": 1, + "bits": [ 2461 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39570.f0": { + "hide_name": 1, + "bits": [ 2464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39570.f1": { + "hide_name": 1, + "bits": [ 2465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.f0": { + "hide_name": 1, + "bits": [ 2468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.f1": { + "hide_name": 1, + "bits": [ 2469 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.f2": { + "hide_name": 1, + "bits": [ 2470 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.f3": { + "hide_name": 1, + "bits": [ 2471 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.f4": { + "hide_name": 1, + "bits": [ 2472 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.f5": { + "hide_name": 1, + "bits": [ 2473 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.f6": { + "hide_name": 1, + "bits": [ 2474 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.f7": { + "hide_name": 1, + "bits": [ 2475 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.g0": { + "hide_name": 1, + "bits": [ 2477 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.g1": { + "hide_name": 1, + "bits": [ 2478 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.g2": { + "hide_name": 1, + "bits": [ 2479 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.g3": { + "hide_name": 1, + "bits": [ 2480 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.h0": { + "hide_name": 1, + "bits": [ 2481 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39571.h1": { + "hide_name": 1, + "bits": [ 2482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39573.f0": { + "hide_name": 1, + "bits": [ 2484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39573.f1": { + "hide_name": 1, + "bits": [ 2485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.f0": { + "hide_name": 1, + "bits": [ 2488 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.f1": { + "hide_name": 1, + "bits": [ 2489 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.f2": { + "hide_name": 1, + "bits": [ 2490 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.f3": { + "hide_name": 1, + "bits": [ 2491 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.f4": { + "hide_name": 1, + "bits": [ 2492 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.f5": { + "hide_name": 1, + "bits": [ 2493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.f6": { + "hide_name": 1, + "bits": [ 2494 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.f7": { + "hide_name": 1, + "bits": [ 2495 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.g0": { + "hide_name": 1, + "bits": [ 2497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.g1": { + "hide_name": 1, + "bits": [ 2498 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.g2": { + "hide_name": 1, + "bits": [ 2499 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.g3": { + "hide_name": 1, + "bits": [ 2500 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.h0": { + "hide_name": 1, + "bits": [ 2501 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39574.h1": { + "hide_name": 1, + "bits": [ 2502 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.f0": { + "hide_name": 1, + "bits": [ 2505 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.f1": { + "hide_name": 1, + "bits": [ 2506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.f2": { + "hide_name": 1, + "bits": [ 2507 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.f3": { + "hide_name": 1, + "bits": [ 2508 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.f4": { + "hide_name": 1, + "bits": [ 2509 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.f5": { + "hide_name": 1, + "bits": [ 2510 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.f6": { + "hide_name": 1, + "bits": [ 2511 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.f7": { + "hide_name": 1, + "bits": [ 2512 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.g0": { + "hide_name": 1, + "bits": [ 2513 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.g1": { + "hide_name": 1, + "bits": [ 2514 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.g2": { + "hide_name": 1, + "bits": [ 2515 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.g3": { + "hide_name": 1, + "bits": [ 2516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.h0": { + "hide_name": 1, + "bits": [ 2517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39576.h1": { + "hide_name": 1, + "bits": [ 2518 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.f0": { + "hide_name": 1, + "bits": [ 2521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.f1": { + "hide_name": 1, + "bits": [ 2522 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.f2": { + "hide_name": 1, + "bits": [ 2523 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.f3": { + "hide_name": 1, + "bits": [ 2524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.f4": { + "hide_name": 1, + "bits": [ 2525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.f5": { + "hide_name": 1, + "bits": [ 2526 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.f6": { + "hide_name": 1, + "bits": [ 2527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.f7": { + "hide_name": 1, + "bits": [ 2528 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.g0": { + "hide_name": 1, + "bits": [ 2530 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.g1": { + "hide_name": 1, + "bits": [ 2531 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.g2": { + "hide_name": 1, + "bits": [ 2532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.g3": { + "hide_name": 1, + "bits": [ 2533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.h0": { + "hide_name": 1, + "bits": [ 2535 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39577.h1": { + "hide_name": 1, + "bits": [ 2536 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.f0": { + "hide_name": 1, + "bits": [ 2539 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.f1": { + "hide_name": 1, + "bits": [ 2540 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.f2": { + "hide_name": 1, + "bits": [ 2541 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.f3": { + "hide_name": 1, + "bits": [ 2542 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.f4": { + "hide_name": 1, + "bits": [ 2543 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.f5": { + "hide_name": 1, + "bits": [ 2544 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.f6": { + "hide_name": 1, + "bits": [ 2545 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.f7": { + "hide_name": 1, + "bits": [ 2546 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.g0": { + "hide_name": 1, + "bits": [ 2547 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.g1": { + "hide_name": 1, + "bits": [ 2548 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.g2": { + "hide_name": 1, + "bits": [ 2549 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.g3": { + "hide_name": 1, + "bits": [ 2550 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.h0": { + "hide_name": 1, + "bits": [ 2551 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39580.h1": { + "hide_name": 1, + "bits": [ 2552 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.f0": { + "hide_name": 1, + "bits": [ 2554 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.f1": { + "hide_name": 1, + "bits": [ 2555 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.f2": { + "hide_name": 1, + "bits": [ 2556 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.f3": { + "hide_name": 1, + "bits": [ 2557 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.f4": { + "hide_name": 1, + "bits": [ 2558 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.f5": { + "hide_name": 1, + "bits": [ 2559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.f6": { + "hide_name": 1, + "bits": [ 2560 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.f7": { + "hide_name": 1, + "bits": [ 2561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.g0": { + "hide_name": 1, + "bits": [ 2562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.g1": { + "hide_name": 1, + "bits": [ 2563 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.g2": { + "hide_name": 1, + "bits": [ 2564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.g3": { + "hide_name": 1, + "bits": [ 2565 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.h0": { + "hide_name": 1, + "bits": [ 2567 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39581.h1": { + "hide_name": 1, + "bits": [ 2568 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39582.f0": { + "hide_name": 1, + "bits": [ 2569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39582.f1": { + "hide_name": 1, + "bits": [ 2570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.f0": { + "hide_name": 1, + "bits": [ 2572 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.f1": { + "hide_name": 1, + "bits": [ 2573 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.f2": { + "hide_name": 1, + "bits": [ 2574 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.f3": { + "hide_name": 1, + "bits": [ 2575 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.f4": { + "hide_name": 1, + "bits": [ 2576 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.f5": { + "hide_name": 1, + "bits": [ 2577 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.f6": { + "hide_name": 1, + "bits": [ 2578 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.f7": { + "hide_name": 1, + "bits": [ 2579 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.g0": { + "hide_name": 1, + "bits": [ 2580 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.g1": { + "hide_name": 1, + "bits": [ 2581 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.g2": { + "hide_name": 1, + "bits": [ 2582 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.g3": { + "hide_name": 1, + "bits": [ 2583 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.h0": { + "hide_name": 1, + "bits": [ 2584 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39583.h1": { + "hide_name": 1, + "bits": [ 2585 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39584.f0": { + "hide_name": 1, + "bits": [ 2586 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39584.f1": { + "hide_name": 1, + "bits": [ 2587 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39585.f0": { + "hide_name": 1, + "bits": [ 2588 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39585.f1": { + "hide_name": 1, + "bits": [ 2589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39586.f0": { + "hide_name": 1, + "bits": [ 2593 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39586.f1": { + "hide_name": 1, + "bits": [ 2594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39587.f0": { + "hide_name": 1, + "bits": [ 2595 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39587.f1": { + "hide_name": 1, + "bits": [ 2596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39588.f0": { + "hide_name": 1, + "bits": [ 2599 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39588.f1": { + "hide_name": 1, + "bits": [ 2600 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39589.f0": { + "hide_name": 1, + "bits": [ 2603 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39589.f1": { + "hide_name": 1, + "bits": [ 2604 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39590.f0": { + "hide_name": 1, + "bits": [ 2608 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39590.f1": { + "hide_name": 1, + "bits": [ 2609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39591.f0": { + "hide_name": 1, + "bits": [ 2610 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39591.f1": { + "hide_name": 1, + "bits": [ 2611 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39592.f0": { + "hide_name": 1, + "bits": [ 2616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39592.f1": { + "hide_name": 1, + "bits": [ 2617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39593.f0": { + "hide_name": 1, + "bits": [ 2618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39593.f1": { + "hide_name": 1, + "bits": [ 2619 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39594.f0": { + "hide_name": 1, + "bits": [ 2620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39594.f1": { + "hide_name": 1, + "bits": [ 2621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39595.f0": { + "hide_name": 1, + "bits": [ 2626 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39595.f1": { + "hide_name": 1, + "bits": [ 2627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39596.f0": { + "hide_name": 1, + "bits": [ 2628 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39596.f1": { + "hide_name": 1, + "bits": [ 2629 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39597.f0": { + "hide_name": 1, + "bits": [ 2630 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39597.f1": { + "hide_name": 1, + "bits": [ 2631 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.f0": { + "hide_name": 1, + "bits": [ 2636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.f1": { + "hide_name": 1, + "bits": [ 2637 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.f2": { + "hide_name": 1, + "bits": [ 2638 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.f3": { + "hide_name": 1, + "bits": [ 2639 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.f4": { + "hide_name": 1, + "bits": [ 2640 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.f5": { + "hide_name": 1, + "bits": [ 2641 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.f6": { + "hide_name": 1, + "bits": [ 2642 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.f7": { + "hide_name": 1, + "bits": [ 2643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.g0": { + "hide_name": 1, + "bits": [ 2645 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.g1": { + "hide_name": 1, + "bits": [ 2646 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.g2": { + "hide_name": 1, + "bits": [ 2647 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.g3": { + "hide_name": 1, + "bits": [ 2648 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.h0": { + "hide_name": 1, + "bits": [ 2650 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39598.h1": { + "hide_name": 1, + "bits": [ 2651 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.f0": { + "hide_name": 1, + "bits": [ 2656 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.f1": { + "hide_name": 1, + "bits": [ 2657 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.f2": { + "hide_name": 1, + "bits": [ 2658 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.f3": { + "hide_name": 1, + "bits": [ 2659 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.f4": { + "hide_name": 1, + "bits": [ 2660 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.f5": { + "hide_name": 1, + "bits": [ 2661 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.f6": { + "hide_name": 1, + "bits": [ 2662 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.f7": { + "hide_name": 1, + "bits": [ 2663 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.g0": { + "hide_name": 1, + "bits": [ 2665 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.g1": { + "hide_name": 1, + "bits": [ 2666 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.g2": { + "hide_name": 1, + "bits": [ 2667 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.g3": { + "hide_name": 1, + "bits": [ 2668 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.h0": { + "hide_name": 1, + "bits": [ 2669 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39599.h1": { + "hide_name": 1, + "bits": [ 2670 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.f0": { + "hide_name": 1, + "bits": [ 2673 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.f1": { + "hide_name": 1, + "bits": [ 2674 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.f2": { + "hide_name": 1, + "bits": [ 2675 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.f3": { + "hide_name": 1, + "bits": [ 2676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.f4": { + "hide_name": 1, + "bits": [ 2677 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.f5": { + "hide_name": 1, + "bits": [ 2678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.f6": { + "hide_name": 1, + "bits": [ 2679 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.f7": { + "hide_name": 1, + "bits": [ 2680 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.g0": { + "hide_name": 1, + "bits": [ 2681 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.g1": { + "hide_name": 1, + "bits": [ 2682 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.g2": { + "hide_name": 1, + "bits": [ 2683 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.g3": { + "hide_name": 1, + "bits": [ 2684 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.h0": { + "hide_name": 1, + "bits": [ 2686 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39600.h1": { + "hide_name": 1, + "bits": [ 2687 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39601.f0": { + "hide_name": 1, + "bits": [ 2688 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39601.f1": { + "hide_name": 1, + "bits": [ 2689 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.f0": { + "hide_name": 1, + "bits": [ 2691 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.f1": { + "hide_name": 1, + "bits": [ 2692 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.f2": { + "hide_name": 1, + "bits": [ 2693 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.f3": { + "hide_name": 1, + "bits": [ 2694 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.f4": { + "hide_name": 1, + "bits": [ 2695 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.f5": { + "hide_name": 1, + "bits": [ 2696 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.f6": { + "hide_name": 1, + "bits": [ 2697 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.f7": { + "hide_name": 1, + "bits": [ 2698 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.g0": { + "hide_name": 1, + "bits": [ 2699 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.g1": { + "hide_name": 1, + "bits": [ 2700 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.g2": { + "hide_name": 1, + "bits": [ 2701 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.g3": { + "hide_name": 1, + "bits": [ 2702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.h0": { + "hide_name": 1, + "bits": [ 2703 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39602.h1": { + "hide_name": 1, + "bits": [ 2704 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39603.f0": { + "hide_name": 1, + "bits": [ 2705 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39603.f1": { + "hide_name": 1, + "bits": [ 2706 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39604.f0": { + "hide_name": 1, + "bits": [ 2709 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39604.f1": { + "hide_name": 1, + "bits": [ 2710 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39605.f0": { + "hide_name": 1, + "bits": [ 2714 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39605.f1": { + "hide_name": 1, + "bits": [ 2715 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39606.f0": { + "hide_name": 1, + "bits": [ 2716 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39606.f1": { + "hide_name": 1, + "bits": [ 2717 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39607.f0": { + "hide_name": 1, + "bits": [ 2721 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39607.f1": { + "hide_name": 1, + "bits": [ 2722 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39608.f0": { + "hide_name": 1, + "bits": [ 2723 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39608.f1": { + "hide_name": 1, + "bits": [ 2724 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39609.f0": { + "hide_name": 1, + "bits": [ 2727 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39609.f1": { + "hide_name": 1, + "bits": [ 2728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39610.f0": { + "hide_name": 1, + "bits": [ 2732 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39610.f1": { + "hide_name": 1, + "bits": [ 2733 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39611.f0": { + "hide_name": 1, + "bits": [ 2734 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39611.f1": { + "hide_name": 1, + "bits": [ 2735 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39612.f0": { + "hide_name": 1, + "bits": [ 2738 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39612.f1": { + "hide_name": 1, + "bits": [ 2739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39613.f0": { + "hide_name": 1, + "bits": [ 2742 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39613.f1": { + "hide_name": 1, + "bits": [ 2743 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39615.f0": { + "hide_name": 1, + "bits": [ 2746 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39615.f1": { + "hide_name": 1, + "bits": [ 2747 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39617.f0": { + "hide_name": 1, + "bits": [ 2750 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39617.f1": { + "hide_name": 1, + "bits": [ 2751 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39619.f0": { + "hide_name": 1, + "bits": [ 2754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39619.f1": { + "hide_name": 1, + "bits": [ 2755 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39621.f0": { + "hide_name": 1, + "bits": [ 2758 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39621.f1": { + "hide_name": 1, + "bits": [ 2759 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.f0": { + "hide_name": 1, + "bits": [ 2762 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.f1": { + "hide_name": 1, + "bits": [ 2763 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.f2": { + "hide_name": 1, + "bits": [ 2764 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.f3": { + "hide_name": 1, + "bits": [ 2765 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.f4": { + "hide_name": 1, + "bits": [ 2766 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.f5": { + "hide_name": 1, + "bits": [ 2767 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.f6": { + "hide_name": 1, + "bits": [ 2768 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.f7": { + "hide_name": 1, + "bits": [ 2769 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.g0": { + "hide_name": 1, + "bits": [ 2771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.g1": { + "hide_name": 1, + "bits": [ 2772 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.g2": { + "hide_name": 1, + "bits": [ 2773 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.g3": { + "hide_name": 1, + "bits": [ 2774 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.h0": { + "hide_name": 1, + "bits": [ 2775 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39623.h1": { + "hide_name": 1, + "bits": [ 2776 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.f0": { + "hide_name": 1, + "bits": [ 2781 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.f1": { + "hide_name": 1, + "bits": [ 2782 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.f2": { + "hide_name": 1, + "bits": [ 2783 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.f3": { + "hide_name": 1, + "bits": [ 2784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.f4": { + "hide_name": 1, + "bits": [ 2785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.f5": { + "hide_name": 1, + "bits": [ 2786 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.f6": { + "hide_name": 1, + "bits": [ 2787 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.f7": { + "hide_name": 1, + "bits": [ 2788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.g0": { + "hide_name": 1, + "bits": [ 2790 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.g1": { + "hide_name": 1, + "bits": [ 2791 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.g2": { + "hide_name": 1, + "bits": [ 2792 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.g3": { + "hide_name": 1, + "bits": [ 2793 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.h0": { + "hide_name": 1, + "bits": [ 2795 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39625.h1": { + "hide_name": 1, + "bits": [ 2796 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39626.f0": { + "hide_name": 1, + "bits": [ 2800 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39626.f1": { + "hide_name": 1, + "bits": [ 2801 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39628.f0": { + "hide_name": 1, + "bits": [ 2804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39628.f1": { + "hide_name": 1, + "bits": [ 2805 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.f0": { + "hide_name": 1, + "bits": [ 2809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.f1": { + "hide_name": 1, + "bits": [ 2810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.f2": { + "hide_name": 1, + "bits": [ 2811 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.f3": { + "hide_name": 1, + "bits": [ 2812 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.f4": { + "hide_name": 1, + "bits": [ 2813 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.f5": { + "hide_name": 1, + "bits": [ 2814 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.f6": { + "hide_name": 1, + "bits": [ 2815 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.f7": { + "hide_name": 1, + "bits": [ 2816 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.g0": { + "hide_name": 1, + "bits": [ 2817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.g1": { + "hide_name": 1, + "bits": [ 2818 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.g2": { + "hide_name": 1, + "bits": [ 2819 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.g3": { + "hide_name": 1, + "bits": [ 2820 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.h0": { + "hide_name": 1, + "bits": [ 2821 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39630.h1": { + "hide_name": 1, + "bits": [ 2822 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39634.f0": { + "hide_name": 1, + "bits": [ 2827 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39634.f1": { + "hide_name": 1, + "bits": [ 2828 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39636.f0": { + "hide_name": 1, + "bits": [ 2831 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39636.f1": { + "hide_name": 1, + "bits": [ 2832 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39638.f0": { + "hide_name": 1, + "bits": [ 2835 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39638.f1": { + "hide_name": 1, + "bits": [ 2836 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39640.f0": { + "hide_name": 1, + "bits": [ 2839 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39640.f1": { + "hide_name": 1, + "bits": [ 2840 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.f0": { + "hide_name": 1, + "bits": [ 2848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.f1": { + "hide_name": 1, + "bits": [ 2849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.f2": { + "hide_name": 1, + "bits": [ 2850 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.f3": { + "hide_name": 1, + "bits": [ 2851 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.f4": { + "hide_name": 1, + "bits": [ 2852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.f5": { + "hide_name": 1, + "bits": [ 2853 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.f6": { + "hide_name": 1, + "bits": [ 2854 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.f7": { + "hide_name": 1, + "bits": [ 2855 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.g0": { + "hide_name": 1, + "bits": [ 2857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.g1": { + "hide_name": 1, + "bits": [ 2858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.g2": { + "hide_name": 1, + "bits": [ 2859 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.g3": { + "hide_name": 1, + "bits": [ 2860 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.h0": { + "hide_name": 1, + "bits": [ 2862 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39645.h1": { + "hide_name": 1, + "bits": [ 2863 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39646.f0": { + "hide_name": 1, + "bits": [ 2867 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39646.f1": { + "hide_name": 1, + "bits": [ 2868 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39648.f0": { + "hide_name": 1, + "bits": [ 2871 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39648.f1": { + "hide_name": 1, + "bits": [ 2872 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39650.f0": { + "hide_name": 1, + "bits": [ 2874 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39650.f1": { + "hide_name": 1, + "bits": [ 2875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39651.f0": { + "hide_name": 1, + "bits": [ 2878 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39651.f1": { + "hide_name": 1, + "bits": [ 2879 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39653.f0": { + "hide_name": 1, + "bits": [ 2882 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39653.f1": { + "hide_name": 1, + "bits": [ 2883 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39655.f0": { + "hide_name": 1, + "bits": [ 2885 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39655.f1": { + "hide_name": 1, + "bits": [ 2886 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.f0": { + "hide_name": 1, + "bits": [ 2888 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.f1": { + "hide_name": 1, + "bits": [ 2889 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.f2": { + "hide_name": 1, + "bits": [ 2890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.f3": { + "hide_name": 1, + "bits": [ 2891 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.f4": { + "hide_name": 1, + "bits": [ 2892 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.f5": { + "hide_name": 1, + "bits": [ 2893 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.f6": { + "hide_name": 1, + "bits": [ 2894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.f7": { + "hide_name": 1, + "bits": [ 2895 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.g0": { + "hide_name": 1, + "bits": [ 2896 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.g1": { + "hide_name": 1, + "bits": [ 2897 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.g2": { + "hide_name": 1, + "bits": [ 2898 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.g3": { + "hide_name": 1, + "bits": [ 2899 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.h0": { + "hide_name": 1, + "bits": [ 2901 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39656.h1": { + "hide_name": 1, + "bits": [ 2902 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.f0": { + "hide_name": 1, + "bits": [ 2907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.f1": { + "hide_name": 1, + "bits": [ 2908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.f2": { + "hide_name": 1, + "bits": [ 2909 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.f3": { + "hide_name": 1, + "bits": [ 2910 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.f4": { + "hide_name": 1, + "bits": [ 2911 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.f5": { + "hide_name": 1, + "bits": [ 2912 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.f6": { + "hide_name": 1, + "bits": [ 2913 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.f7": { + "hide_name": 1, + "bits": [ 2914 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.g0": { + "hide_name": 1, + "bits": [ 2916 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.g1": { + "hide_name": 1, + "bits": [ 2917 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.g2": { + "hide_name": 1, + "bits": [ 2918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.g3": { + "hide_name": 1, + "bits": [ 2919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.h0": { + "hide_name": 1, + "bits": [ 2921 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39658.h1": { + "hide_name": 1, + "bits": [ 2922 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.f0": { + "hide_name": 1, + "bits": [ 2926 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.f1": { + "hide_name": 1, + "bits": [ 2927 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.f2": { + "hide_name": 1, + "bits": [ 2928 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.f3": { + "hide_name": 1, + "bits": [ 2929 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.f4": { + "hide_name": 1, + "bits": [ 2930 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.f5": { + "hide_name": 1, + "bits": [ 2931 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.f6": { + "hide_name": 1, + "bits": [ 2932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.f7": { + "hide_name": 1, + "bits": [ 2933 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.g0": { + "hide_name": 1, + "bits": [ 2935 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.g1": { + "hide_name": 1, + "bits": [ 2936 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.g2": { + "hide_name": 1, + "bits": [ 2937 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.g3": { + "hide_name": 1, + "bits": [ 2938 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.h0": { + "hide_name": 1, + "bits": [ 2940 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39659.h1": { + "hide_name": 1, + "bits": [ 2941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39662.f0": { + "hide_name": 1, + "bits": [ 2944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39662.f1": { + "hide_name": 1, + "bits": [ 2945 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39664.f0": { + "hide_name": 1, + "bits": [ 2948 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39664.f1": { + "hide_name": 1, + "bits": [ 2949 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39666.f0": { + "hide_name": 1, + "bits": [ 2952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39666.f1": { + "hide_name": 1, + "bits": [ 2953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39668.f0": { + "hide_name": 1, + "bits": [ 2956 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39668.f1": { + "hide_name": 1, + "bits": [ 2957 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39670.f0": { + "hide_name": 1, + "bits": [ 2960 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39670.f1": { + "hide_name": 1, + "bits": [ 2961 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39672.f0": { + "hide_name": 1, + "bits": [ 2964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39672.f1": { + "hide_name": 1, + "bits": [ 2965 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.f0": { + "hide_name": 1, + "bits": [ 2967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.f1": { + "hide_name": 1, + "bits": [ 2968 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.f2": { + "hide_name": 1, + "bits": [ 2969 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.f3": { + "hide_name": 1, + "bits": [ 2970 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.f4": { + "hide_name": 1, + "bits": [ 2971 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.f5": { + "hide_name": 1, + "bits": [ 2972 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.f6": { + "hide_name": 1, + "bits": [ 2973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.f7": { + "hide_name": 1, + "bits": [ 2974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.g0": { + "hide_name": 1, + "bits": [ 2975 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.g1": { + "hide_name": 1, + "bits": [ 2976 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.g2": { + "hide_name": 1, + "bits": [ 2977 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.g3": { + "hide_name": 1, + "bits": [ 2978 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.h0": { + "hide_name": 1, + "bits": [ 2979 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39674.h1": { + "hide_name": 1, + "bits": [ 2980 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.f0": { + "hide_name": 1, + "bits": [ 2982 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.f1": { + "hide_name": 1, + "bits": [ 2983 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.f2": { + "hide_name": 1, + "bits": [ 2984 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.f3": { + "hide_name": 1, + "bits": [ 2985 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.f4": { + "hide_name": 1, + "bits": [ 2986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.f5": { + "hide_name": 1, + "bits": [ 2987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.f6": { + "hide_name": 1, + "bits": [ 2988 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.f7": { + "hide_name": 1, + "bits": [ 2989 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.g0": { + "hide_name": 1, + "bits": [ 2990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.g1": { + "hide_name": 1, + "bits": [ 2991 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.g2": { + "hide_name": 1, + "bits": [ 2992 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.g3": { + "hide_name": 1, + "bits": [ 2993 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.h0": { + "hide_name": 1, + "bits": [ 2994 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39675.h1": { + "hide_name": 1, + "bits": [ 2995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.f0": { + "hide_name": 1, + "bits": [ 2997 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.f1": { + "hide_name": 1, + "bits": [ 2998 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.f2": { + "hide_name": 1, + "bits": [ 2999 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.f3": { + "hide_name": 1, + "bits": [ 3000 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.f4": { + "hide_name": 1, + "bits": [ 3001 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.f5": { + "hide_name": 1, + "bits": [ 3002 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.f6": { + "hide_name": 1, + "bits": [ 3003 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.f7": { + "hide_name": 1, + "bits": [ 3004 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.g0": { + "hide_name": 1, + "bits": [ 3005 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.g1": { + "hide_name": 1, + "bits": [ 3006 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.g2": { + "hide_name": 1, + "bits": [ 3007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.g3": { + "hide_name": 1, + "bits": [ 3008 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.h0": { + "hide_name": 1, + "bits": [ 3009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39676.h1": { + "hide_name": 1, + "bits": [ 3010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.f0": { + "hide_name": 1, + "bits": [ 3012 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.f1": { + "hide_name": 1, + "bits": [ 3013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.f2": { + "hide_name": 1, + "bits": [ 3014 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.f3": { + "hide_name": 1, + "bits": [ 3015 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.f4": { + "hide_name": 1, + "bits": [ 3016 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.f5": { + "hide_name": 1, + "bits": [ 3017 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.f6": { + "hide_name": 1, + "bits": [ 3018 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.f7": { + "hide_name": 1, + "bits": [ 3019 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.g0": { + "hide_name": 1, + "bits": [ 3020 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.g1": { + "hide_name": 1, + "bits": [ 3021 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.g2": { + "hide_name": 1, + "bits": [ 3022 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.g3": { + "hide_name": 1, + "bits": [ 3023 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.h0": { + "hide_name": 1, + "bits": [ 3025 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39677.h1": { + "hide_name": 1, + "bits": [ 3026 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.f0": { + "hide_name": 1, + "bits": [ 3028 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.f1": { + "hide_name": 1, + "bits": [ 3029 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.f2": { + "hide_name": 1, + "bits": [ 3030 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.f3": { + "hide_name": 1, + "bits": [ 3031 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.f4": { + "hide_name": 1, + "bits": [ 3032 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.f5": { + "hide_name": 1, + "bits": [ 3033 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.f6": { + "hide_name": 1, + "bits": [ 3034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.f7": { + "hide_name": 1, + "bits": [ 3035 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.g0": { + "hide_name": 1, + "bits": [ 3036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.g1": { + "hide_name": 1, + "bits": [ 3037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.g2": { + "hide_name": 1, + "bits": [ 3038 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.g3": { + "hide_name": 1, + "bits": [ 3039 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.h0": { + "hide_name": 1, + "bits": [ 3040 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39679.h1": { + "hide_name": 1, + "bits": [ 3041 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.f0": { + "hide_name": 1, + "bits": [ 3046 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.f1": { + "hide_name": 1, + "bits": [ 3047 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.f2": { + "hide_name": 1, + "bits": [ 3048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.f3": { + "hide_name": 1, + "bits": [ 3049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.f4": { + "hide_name": 1, + "bits": [ 3050 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.f5": { + "hide_name": 1, + "bits": [ 3051 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.f6": { + "hide_name": 1, + "bits": [ 3052 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.f7": { + "hide_name": 1, + "bits": [ 3053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.g0": { + "hide_name": 1, + "bits": [ 3055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.g1": { + "hide_name": 1, + "bits": [ 3056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.g2": { + "hide_name": 1, + "bits": [ 3057 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.g3": { + "hide_name": 1, + "bits": [ 3058 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.h0": { + "hide_name": 1, + "bits": [ 3059 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39680.h1": { + "hide_name": 1, + "bits": [ 3060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39681.f0": { + "hide_name": 1, + "bits": [ 3062 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39681.f1": { + "hide_name": 1, + "bits": [ 3063 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.f0": { + "hide_name": 1, + "bits": [ 3068 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.f1": { + "hide_name": 1, + "bits": [ 3069 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.f2": { + "hide_name": 1, + "bits": [ 3070 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.f3": { + "hide_name": 1, + "bits": [ 3071 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.f4": { + "hide_name": 1, + "bits": [ 3072 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.f5": { + "hide_name": 1, + "bits": [ 3073 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.f6": { + "hide_name": 1, + "bits": [ 3074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.f7": { + "hide_name": 1, + "bits": [ 3075 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.g0": { + "hide_name": 1, + "bits": [ 3077 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.g1": { + "hide_name": 1, + "bits": [ 3078 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.g2": { + "hide_name": 1, + "bits": [ 3079 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.g3": { + "hide_name": 1, + "bits": [ 3080 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.h0": { + "hide_name": 1, + "bits": [ 3082 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39684.h1": { + "hide_name": 1, + "bits": [ 3083 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.f0": { + "hide_name": 1, + "bits": [ 3086 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.f1": { + "hide_name": 1, + "bits": [ 3087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.f2": { + "hide_name": 1, + "bits": [ 3088 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.f3": { + "hide_name": 1, + "bits": [ 3089 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.f4": { + "hide_name": 1, + "bits": [ 3090 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.f5": { + "hide_name": 1, + "bits": [ 3091 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.f6": { + "hide_name": 1, + "bits": [ 3092 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.f7": { + "hide_name": 1, + "bits": [ 3093 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.g0": { + "hide_name": 1, + "bits": [ 3094 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.g1": { + "hide_name": 1, + "bits": [ 3095 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.g2": { + "hide_name": 1, + "bits": [ 3096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.g3": { + "hide_name": 1, + "bits": [ 3097 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.h0": { + "hide_name": 1, + "bits": [ 3098 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39685.h1": { + "hide_name": 1, + "bits": [ 3099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.f0": { + "hide_name": 1, + "bits": [ 3101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.f1": { + "hide_name": 1, + "bits": [ 3102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.f2": { + "hide_name": 1, + "bits": [ 3103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.f3": { + "hide_name": 1, + "bits": [ 3104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.f4": { + "hide_name": 1, + "bits": [ 3105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.f5": { + "hide_name": 1, + "bits": [ 3106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.f6": { + "hide_name": 1, + "bits": [ 3107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.f7": { + "hide_name": 1, + "bits": [ 3108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.g0": { + "hide_name": 1, + "bits": [ 3109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.g1": { + "hide_name": 1, + "bits": [ 3110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.g2": { + "hide_name": 1, + "bits": [ 3111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.g3": { + "hide_name": 1, + "bits": [ 3112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.h0": { + "hide_name": 1, + "bits": [ 3113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39686.h1": { + "hide_name": 1, + "bits": [ 3114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.f0": { + "hide_name": 1, + "bits": [ 3116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.f1": { + "hide_name": 1, + "bits": [ 3117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.f2": { + "hide_name": 1, + "bits": [ 3118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.f3": { + "hide_name": 1, + "bits": [ 3119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.f4": { + "hide_name": 1, + "bits": [ 3120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.f5": { + "hide_name": 1, + "bits": [ 3121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.f6": { + "hide_name": 1, + "bits": [ 3122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.f7": { + "hide_name": 1, + "bits": [ 3123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.g0": { + "hide_name": 1, + "bits": [ 3124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.g1": { + "hide_name": 1, + "bits": [ 3125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.g2": { + "hide_name": 1, + "bits": [ 3126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.g3": { + "hide_name": 1, + "bits": [ 3127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.h0": { + "hide_name": 1, + "bits": [ 3128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39687.h1": { + "hide_name": 1, + "bits": [ 3129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.f0": { + "hide_name": 1, + "bits": [ 3131 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.f1": { + "hide_name": 1, + "bits": [ 3132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.f2": { + "hide_name": 1, + "bits": [ 3133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.f3": { + "hide_name": 1, + "bits": [ 3134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.f4": { + "hide_name": 1, + "bits": [ 3135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.f5": { + "hide_name": 1, + "bits": [ 3136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.f6": { + "hide_name": 1, + "bits": [ 3137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.f7": { + "hide_name": 1, + "bits": [ 3138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.g0": { + "hide_name": 1, + "bits": [ 3139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.g1": { + "hide_name": 1, + "bits": [ 3140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.g2": { + "hide_name": 1, + "bits": [ 3141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.g3": { + "hide_name": 1, + "bits": [ 3142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.h0": { + "hide_name": 1, + "bits": [ 3143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39688.h1": { + "hide_name": 1, + "bits": [ 3144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.f0": { + "hide_name": 1, + "bits": [ 3146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.f1": { + "hide_name": 1, + "bits": [ 3147 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.f2": { + "hide_name": 1, + "bits": [ 3148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.f3": { + "hide_name": 1, + "bits": [ 3149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.f4": { + "hide_name": 1, + "bits": [ 3150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.f5": { + "hide_name": 1, + "bits": [ 3151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.f6": { + "hide_name": 1, + "bits": [ 3152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.f7": { + "hide_name": 1, + "bits": [ 3153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.g0": { + "hide_name": 1, + "bits": [ 3154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.g1": { + "hide_name": 1, + "bits": [ 3155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.g2": { + "hide_name": 1, + "bits": [ 3156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.g3": { + "hide_name": 1, + "bits": [ 3157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.h0": { + "hide_name": 1, + "bits": [ 3158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39689.h1": { + "hide_name": 1, + "bits": [ 3159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.f0": { + "hide_name": 1, + "bits": [ 3161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.f1": { + "hide_name": 1, + "bits": [ 3162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.f2": { + "hide_name": 1, + "bits": [ 3163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.f3": { + "hide_name": 1, + "bits": [ 3164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.f4": { + "hide_name": 1, + "bits": [ 3165 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.f5": { + "hide_name": 1, + "bits": [ 3166 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.f6": { + "hide_name": 1, + "bits": [ 3167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.f7": { + "hide_name": 1, + "bits": [ 3168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.g0": { + "hide_name": 1, + "bits": [ 3169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.g1": { + "hide_name": 1, + "bits": [ 3170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.g2": { + "hide_name": 1, + "bits": [ 3171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.g3": { + "hide_name": 1, + "bits": [ 3172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.h0": { + "hide_name": 1, + "bits": [ 3173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39690.h1": { + "hide_name": 1, + "bits": [ 3174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.f0": { + "hide_name": 1, + "bits": [ 3176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.f1": { + "hide_name": 1, + "bits": [ 3177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.f2": { + "hide_name": 1, + "bits": [ 3178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.f3": { + "hide_name": 1, + "bits": [ 3179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.f4": { + "hide_name": 1, + "bits": [ 3180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.f5": { + "hide_name": 1, + "bits": [ 3181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.f6": { + "hide_name": 1, + "bits": [ 3182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.f7": { + "hide_name": 1, + "bits": [ 3183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.g0": { + "hide_name": 1, + "bits": [ 3184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.g1": { + "hide_name": 1, + "bits": [ 3185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.g2": { + "hide_name": 1, + "bits": [ 3186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.g3": { + "hide_name": 1, + "bits": [ 3187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.h0": { + "hide_name": 1, + "bits": [ 3188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39691.h1": { + "hide_name": 1, + "bits": [ 3189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.f0": { + "hide_name": 1, + "bits": [ 3193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.f1": { + "hide_name": 1, + "bits": [ 3194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.f2": { + "hide_name": 1, + "bits": [ 3195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.f3": { + "hide_name": 1, + "bits": [ 3196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.f4": { + "hide_name": 1, + "bits": [ 3197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.f5": { + "hide_name": 1, + "bits": [ 3198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.f6": { + "hide_name": 1, + "bits": [ 3199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.f7": { + "hide_name": 1, + "bits": [ 3200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.g0": { + "hide_name": 1, + "bits": [ 3202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.g1": { + "hide_name": 1, + "bits": [ 3203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.g2": { + "hide_name": 1, + "bits": [ 3204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.g3": { + "hide_name": 1, + "bits": [ 3205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.h0": { + "hide_name": 1, + "bits": [ 3207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39692.h1": { + "hide_name": 1, + "bits": [ 3208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.f0": { + "hide_name": 1, + "bits": [ 3210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.f1": { + "hide_name": 1, + "bits": [ 3211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.f2": { + "hide_name": 1, + "bits": [ 3212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.f3": { + "hide_name": 1, + "bits": [ 3213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.f4": { + "hide_name": 1, + "bits": [ 3214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.f5": { + "hide_name": 1, + "bits": [ 3215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.f6": { + "hide_name": 1, + "bits": [ 3216 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.f7": { + "hide_name": 1, + "bits": [ 3217 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.g0": { + "hide_name": 1, + "bits": [ 3218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.g1": { + "hide_name": 1, + "bits": [ 3219 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.g2": { + "hide_name": 1, + "bits": [ 3220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.g3": { + "hide_name": 1, + "bits": [ 3221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.h0": { + "hide_name": 1, + "bits": [ 3222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39693.h1": { + "hide_name": 1, + "bits": [ 3223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.f0": { + "hide_name": 1, + "bits": [ 3225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.f1": { + "hide_name": 1, + "bits": [ 3226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.f2": { + "hide_name": 1, + "bits": [ 3227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.f3": { + "hide_name": 1, + "bits": [ 3228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.f4": { + "hide_name": 1, + "bits": [ 3229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.f5": { + "hide_name": 1, + "bits": [ 3230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.f6": { + "hide_name": 1, + "bits": [ 3231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.f7": { + "hide_name": 1, + "bits": [ 3232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.g0": { + "hide_name": 1, + "bits": [ 3233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.g1": { + "hide_name": 1, + "bits": [ 3234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.g2": { + "hide_name": 1, + "bits": [ 3235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.g3": { + "hide_name": 1, + "bits": [ 3236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.h0": { + "hide_name": 1, + "bits": [ 3237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39694.h1": { + "hide_name": 1, + "bits": [ 3238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.f0": { + "hide_name": 1, + "bits": [ 3240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.f1": { + "hide_name": 1, + "bits": [ 3241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.f2": { + "hide_name": 1, + "bits": [ 3242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.f3": { + "hide_name": 1, + "bits": [ 3243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.f4": { + "hide_name": 1, + "bits": [ 3244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.f5": { + "hide_name": 1, + "bits": [ 3245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.f6": { + "hide_name": 1, + "bits": [ 3246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.f7": { + "hide_name": 1, + "bits": [ 3247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.g0": { + "hide_name": 1, + "bits": [ 3248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.g1": { + "hide_name": 1, + "bits": [ 3249 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.g2": { + "hide_name": 1, + "bits": [ 3250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.g3": { + "hide_name": 1, + "bits": [ 3251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.h0": { + "hide_name": 1, + "bits": [ 3252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39695.h1": { + "hide_name": 1, + "bits": [ 3253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.f0": { + "hide_name": 1, + "bits": [ 3255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.f1": { + "hide_name": 1, + "bits": [ 3256 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.f2": { + "hide_name": 1, + "bits": [ 3257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.f3": { + "hide_name": 1, + "bits": [ 3258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.f4": { + "hide_name": 1, + "bits": [ 3259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.f5": { + "hide_name": 1, + "bits": [ 3260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.f6": { + "hide_name": 1, + "bits": [ 3261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.f7": { + "hide_name": 1, + "bits": [ 3262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.g0": { + "hide_name": 1, + "bits": [ 3263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.g1": { + "hide_name": 1, + "bits": [ 3264 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.g2": { + "hide_name": 1, + "bits": [ 3265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.g3": { + "hide_name": 1, + "bits": [ 3266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.h0": { + "hide_name": 1, + "bits": [ 3267 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39696.h1": { + "hide_name": 1, + "bits": [ 3268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.f0": { + "hide_name": 1, + "bits": [ 3273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.f1": { + "hide_name": 1, + "bits": [ 3274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.f2": { + "hide_name": 1, + "bits": [ 3275 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.f3": { + "hide_name": 1, + "bits": [ 3276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.g0": { + "hide_name": 1, + "bits": [ 3278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39697.g1": { + "hide_name": 1, + "bits": [ 3279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.f0": { + "hide_name": 1, + "bits": [ 3282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.f1": { + "hide_name": 1, + "bits": [ 3283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.f2": { + "hide_name": 1, + "bits": [ 3284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.f3": { + "hide_name": 1, + "bits": [ 3285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.f4": { + "hide_name": 1, + "bits": [ 3286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.f5": { + "hide_name": 1, + "bits": [ 3287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.f6": { + "hide_name": 1, + "bits": [ 3288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.f7": { + "hide_name": 1, + "bits": [ 3289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.g0": { + "hide_name": 1, + "bits": [ 3290 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.g1": { + "hide_name": 1, + "bits": [ 3291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.g2": { + "hide_name": 1, + "bits": [ 3292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.g3": { + "hide_name": 1, + "bits": [ 3293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.h0": { + "hide_name": 1, + "bits": [ 3294 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39698.h1": { + "hide_name": 1, + "bits": [ 3295 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.f0": { + "hide_name": 1, + "bits": [ 3297 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.f1": { + "hide_name": 1, + "bits": [ 3298 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.f2": { + "hide_name": 1, + "bits": [ 3299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.f3": { + "hide_name": 1, + "bits": [ 3300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.f4": { + "hide_name": 1, + "bits": [ 3301 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.f5": { + "hide_name": 1, + "bits": [ 3302 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.f6": { + "hide_name": 1, + "bits": [ 3303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.f7": { + "hide_name": 1, + "bits": [ 3304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.g0": { + "hide_name": 1, + "bits": [ 3305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.g1": { + "hide_name": 1, + "bits": [ 3306 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.g2": { + "hide_name": 1, + "bits": [ 3307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.g3": { + "hide_name": 1, + "bits": [ 3308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.h0": { + "hide_name": 1, + "bits": [ 3310 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39699.h1": { + "hide_name": 1, + "bits": [ 3311 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.f0": { + "hide_name": 1, + "bits": [ 3313 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.f1": { + "hide_name": 1, + "bits": [ 3314 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.f2": { + "hide_name": 1, + "bits": [ 3315 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.f3": { + "hide_name": 1, + "bits": [ 3316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.f4": { + "hide_name": 1, + "bits": [ 3317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.f5": { + "hide_name": 1, + "bits": [ 3318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.f6": { + "hide_name": 1, + "bits": [ 3319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.f7": { + "hide_name": 1, + "bits": [ 3320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.g0": { + "hide_name": 1, + "bits": [ 3321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.g1": { + "hide_name": 1, + "bits": [ 3322 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.g2": { + "hide_name": 1, + "bits": [ 3323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.g3": { + "hide_name": 1, + "bits": [ 3324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.h0": { + "hide_name": 1, + "bits": [ 3326 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39701.h1": { + "hide_name": 1, + "bits": [ 3327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.f0": { + "hide_name": 1, + "bits": [ 3329 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.f1": { + "hide_name": 1, + "bits": [ 3330 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.f2": { + "hide_name": 1, + "bits": [ 3331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.f3": { + "hide_name": 1, + "bits": [ 3332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.f4": { + "hide_name": 1, + "bits": [ 3333 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.f5": { + "hide_name": 1, + "bits": [ 3334 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.f6": { + "hide_name": 1, + "bits": [ 3335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.f7": { + "hide_name": 1, + "bits": [ 3336 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.g0": { + "hide_name": 1, + "bits": [ 3337 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.g1": { + "hide_name": 1, + "bits": [ 3338 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.g2": { + "hide_name": 1, + "bits": [ 3339 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.g3": { + "hide_name": 1, + "bits": [ 3340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.h0": { + "hide_name": 1, + "bits": [ 3341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39703.h1": { + "hide_name": 1, + "bits": [ 3342 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.f0": { + "hide_name": 1, + "bits": [ 3344 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.f1": { + "hide_name": 1, + "bits": [ 3345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.f2": { + "hide_name": 1, + "bits": [ 3346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.f3": { + "hide_name": 1, + "bits": [ 3347 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.f4": { + "hide_name": 1, + "bits": [ 3348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.f5": { + "hide_name": 1, + "bits": [ 3349 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.f6": { + "hide_name": 1, + "bits": [ 3350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.f7": { + "hide_name": 1, + "bits": [ 3351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.g0": { + "hide_name": 1, + "bits": [ 3352 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.g1": { + "hide_name": 1, + "bits": [ 3353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.g2": { + "hide_name": 1, + "bits": [ 3354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.g3": { + "hide_name": 1, + "bits": [ 3355 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.h0": { + "hide_name": 1, + "bits": [ 3356 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39704.h1": { + "hide_name": 1, + "bits": [ 3357 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.f0": { + "hide_name": 1, + "bits": [ 3359 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.f1": { + "hide_name": 1, + "bits": [ 3360 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.f2": { + "hide_name": 1, + "bits": [ 3361 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.f3": { + "hide_name": 1, + "bits": [ 3362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.f4": { + "hide_name": 1, + "bits": [ 3363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.f5": { + "hide_name": 1, + "bits": [ 3364 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.f6": { + "hide_name": 1, + "bits": [ 3365 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.f7": { + "hide_name": 1, + "bits": [ 3366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.g0": { + "hide_name": 1, + "bits": [ 3367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.g1": { + "hide_name": 1, + "bits": [ 3368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.g2": { + "hide_name": 1, + "bits": [ 3369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.g3": { + "hide_name": 1, + "bits": [ 3370 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.h0": { + "hide_name": 1, + "bits": [ 3371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39705.h1": { + "hide_name": 1, + "bits": [ 3372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.f0": { + "hide_name": 1, + "bits": [ 3376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.f1": { + "hide_name": 1, + "bits": [ 3377 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.f2": { + "hide_name": 1, + "bits": [ 3378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.f3": { + "hide_name": 1, + "bits": [ 3379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.f4": { + "hide_name": 1, + "bits": [ 3380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.f5": { + "hide_name": 1, + "bits": [ 3381 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.f6": { + "hide_name": 1, + "bits": [ 3382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.f7": { + "hide_name": 1, + "bits": [ 3383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.g0": { + "hide_name": 1, + "bits": [ 3385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.g1": { + "hide_name": 1, + "bits": [ 3386 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.g2": { + "hide_name": 1, + "bits": [ 3387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.g3": { + "hide_name": 1, + "bits": [ 3388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.h0": { + "hide_name": 1, + "bits": [ 3390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39706.h1": { + "hide_name": 1, + "bits": [ 3391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.f0": { + "hide_name": 1, + "bits": [ 3393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.f1": { + "hide_name": 1, + "bits": [ 3394 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.f2": { + "hide_name": 1, + "bits": [ 3395 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.f3": { + "hide_name": 1, + "bits": [ 3396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.f4": { + "hide_name": 1, + "bits": [ 3397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.f5": { + "hide_name": 1, + "bits": [ 3398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.f6": { + "hide_name": 1, + "bits": [ 3399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.f7": { + "hide_name": 1, + "bits": [ 3400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.g0": { + "hide_name": 1, + "bits": [ 3401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.g1": { + "hide_name": 1, + "bits": [ 3402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.g2": { + "hide_name": 1, + "bits": [ 3403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.g3": { + "hide_name": 1, + "bits": [ 3404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.h0": { + "hide_name": 1, + "bits": [ 3406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39707.h1": { + "hide_name": 1, + "bits": [ 3407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.f0": { + "hide_name": 1, + "bits": [ 3409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.f1": { + "hide_name": 1, + "bits": [ 3410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.f2": { + "hide_name": 1, + "bits": [ 3411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.f3": { + "hide_name": 1, + "bits": [ 3412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.f4": { + "hide_name": 1, + "bits": [ 3413 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.f5": { + "hide_name": 1, + "bits": [ 3414 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.f6": { + "hide_name": 1, + "bits": [ 3415 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.f7": { + "hide_name": 1, + "bits": [ 3416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.g0": { + "hide_name": 1, + "bits": [ 3417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.g1": { + "hide_name": 1, + "bits": [ 3418 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.g2": { + "hide_name": 1, + "bits": [ 3419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.g3": { + "hide_name": 1, + "bits": [ 3420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.h0": { + "hide_name": 1, + "bits": [ 3422 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39709.h1": { + "hide_name": 1, + "bits": [ 3423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.f0": { + "hide_name": 1, + "bits": [ 3425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.f1": { + "hide_name": 1, + "bits": [ 3426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.f2": { + "hide_name": 1, + "bits": [ 3427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.f3": { + "hide_name": 1, + "bits": [ 3428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.f4": { + "hide_name": 1, + "bits": [ 3429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.f5": { + "hide_name": 1, + "bits": [ 3430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.f6": { + "hide_name": 1, + "bits": [ 3431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.f7": { + "hide_name": 1, + "bits": [ 3432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.g0": { + "hide_name": 1, + "bits": [ 3433 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.g1": { + "hide_name": 1, + "bits": [ 3434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.g2": { + "hide_name": 1, + "bits": [ 3435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.g3": { + "hide_name": 1, + "bits": [ 3436 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.h0": { + "hide_name": 1, + "bits": [ 3437 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39711.h1": { + "hide_name": 1, + "bits": [ 3438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.f0": { + "hide_name": 1, + "bits": [ 3440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.f1": { + "hide_name": 1, + "bits": [ 3441 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.f2": { + "hide_name": 1, + "bits": [ 3442 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.f3": { + "hide_name": 1, + "bits": [ 3443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.f4": { + "hide_name": 1, + "bits": [ 3444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.f5": { + "hide_name": 1, + "bits": [ 3445 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.f6": { + "hide_name": 1, + "bits": [ 3446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.f7": { + "hide_name": 1, + "bits": [ 3447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.g0": { + "hide_name": 1, + "bits": [ 3448 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.g1": { + "hide_name": 1, + "bits": [ 3449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.g2": { + "hide_name": 1, + "bits": [ 3450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.g3": { + "hide_name": 1, + "bits": [ 3451 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.h0": { + "hide_name": 1, + "bits": [ 3452 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39712.h1": { + "hide_name": 1, + "bits": [ 3453 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39717.f0": { + "hide_name": 1, + "bits": [ 3459 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39717.f1": { + "hide_name": 1, + "bits": [ 3460 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39719.f0": { + "hide_name": 1, + "bits": [ 3464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39719.f1": { + "hide_name": 1, + "bits": [ 3465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.f0": { + "hide_name": 1, + "bits": [ 3470 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.f1": { + "hide_name": 1, + "bits": [ 3471 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.f2": { + "hide_name": 1, + "bits": [ 3472 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.f3": { + "hide_name": 1, + "bits": [ 3473 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.f4": { + "hide_name": 1, + "bits": [ 3474 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.f5": { + "hide_name": 1, + "bits": [ 3475 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.f6": { + "hide_name": 1, + "bits": [ 3476 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.f7": { + "hide_name": 1, + "bits": [ 3477 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.g0": { + "hide_name": 1, + "bits": [ 3479 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.g1": { + "hide_name": 1, + "bits": [ 3480 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.g2": { + "hide_name": 1, + "bits": [ 3481 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.g3": { + "hide_name": 1, + "bits": [ 3482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.h0": { + "hide_name": 1, + "bits": [ 3484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39720.h1": { + "hide_name": 1, + "bits": [ 3485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39721.f0": { + "hide_name": 1, + "bits": [ 3489 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39721.f1": { + "hide_name": 1, + "bits": [ 3490 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39722.f0": { + "hide_name": 1, + "bits": [ 3493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39722.f1": { + "hide_name": 1, + "bits": [ 3494 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39723.f0": { + "hide_name": 1, + "bits": [ 3497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39723.f1": { + "hide_name": 1, + "bits": [ 3498 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39724.f0": { + "hide_name": 1, + "bits": [ 3501 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39724.f1": { + "hide_name": 1, + "bits": [ 3502 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39725.f0": { + "hide_name": 1, + "bits": [ 3506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39725.f1": { + "hide_name": 1, + "bits": [ 3507 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39727.f0": { + "hide_name": 1, + "bits": [ 3511 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39727.f1": { + "hide_name": 1, + "bits": [ 3512 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.f0": { + "hide_name": 1, + "bits": [ 3516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.f1": { + "hide_name": 1, + "bits": [ 3517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.f2": { + "hide_name": 1, + "bits": [ 3518 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.f3": { + "hide_name": 1, + "bits": [ 3519 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.f4": { + "hide_name": 1, + "bits": [ 3520 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.f5": { + "hide_name": 1, + "bits": [ 3521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.f6": { + "hide_name": 1, + "bits": [ 3522 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.f7": { + "hide_name": 1, + "bits": [ 3523 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.g0": { + "hide_name": 1, + "bits": [ 3524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.g1": { + "hide_name": 1, + "bits": [ 3525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.g2": { + "hide_name": 1, + "bits": [ 3526 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.g3": { + "hide_name": 1, + "bits": [ 3527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.h0": { + "hide_name": 1, + "bits": [ 3528 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39729.h1": { + "hide_name": 1, + "bits": [ 3529 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.f0": { + "hide_name": 1, + "bits": [ 3534 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.f1": { + "hide_name": 1, + "bits": [ 3535 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.f2": { + "hide_name": 1, + "bits": [ 3536 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.f3": { + "hide_name": 1, + "bits": [ 3537 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.f4": { + "hide_name": 1, + "bits": [ 3538 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.f5": { + "hide_name": 1, + "bits": [ 3539 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.f6": { + "hide_name": 1, + "bits": [ 3540 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.f7": { + "hide_name": 1, + "bits": [ 3541 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.g0": { + "hide_name": 1, + "bits": [ 3543 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.g1": { + "hide_name": 1, + "bits": [ 3544 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.g2": { + "hide_name": 1, + "bits": [ 3545 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.g3": { + "hide_name": 1, + "bits": [ 3546 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.h0": { + "hide_name": 1, + "bits": [ 3548 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39730.h1": { + "hide_name": 1, + "bits": [ 3549 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39738.f0": { + "hide_name": 1, + "bits": [ 3561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39738.f1": { + "hide_name": 1, + "bits": [ 3562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.f0": { + "hide_name": 1, + "bits": [ 3564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.f1": { + "hide_name": 1, + "bits": [ 3565 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.f2": { + "hide_name": 1, + "bits": [ 3566 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.f3": { + "hide_name": 1, + "bits": [ 3567 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.g0": { + "hide_name": 1, + "bits": [ 3569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39740.g1": { + "hide_name": 1, + "bits": [ 3570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.f0": { + "hide_name": 1, + "bits": [ 3572 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.f1": { + "hide_name": 1, + "bits": [ 3573 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.f2": { + "hide_name": 1, + "bits": [ 3574 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.f3": { + "hide_name": 1, + "bits": [ 3575 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.g0": { + "hide_name": 1, + "bits": [ 3576 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39742.g1": { + "hide_name": 1, + "bits": [ 3577 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.f0": { + "hide_name": 1, + "bits": [ 3582 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.f1": { + "hide_name": 1, + "bits": [ 3583 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.f2": { + "hide_name": 1, + "bits": [ 3584 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.f3": { + "hide_name": 1, + "bits": [ 3585 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.f4": { + "hide_name": 1, + "bits": [ 3586 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.f5": { + "hide_name": 1, + "bits": [ 3587 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.f6": { + "hide_name": 1, + "bits": [ 3588 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.f7": { + "hide_name": 1, + "bits": [ 3589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.g0": { + "hide_name": 1, + "bits": [ 3591 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.g1": { + "hide_name": 1, + "bits": [ 3592 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.g2": { + "hide_name": 1, + "bits": [ 3593 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.g3": { + "hide_name": 1, + "bits": [ 3594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.h0": { + "hide_name": 1, + "bits": [ 3596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39745.h1": { + "hide_name": 1, + "bits": [ 3597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.f0": { + "hide_name": 1, + "bits": [ 3599 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.f1": { + "hide_name": 1, + "bits": [ 3600 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.f2": { + "hide_name": 1, + "bits": [ 3601 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.f3": { + "hide_name": 1, + "bits": [ 3602 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.g0": { + "hide_name": 1, + "bits": [ 3604 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39746.g1": { + "hide_name": 1, + "bits": [ 3605 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.f0": { + "hide_name": 1, + "bits": [ 3607 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.f1": { + "hide_name": 1, + "bits": [ 3608 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.f2": { + "hide_name": 1, + "bits": [ 3609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.f3": { + "hide_name": 1, + "bits": [ 3610 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.g0": { + "hide_name": 1, + "bits": [ 3612 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39748.g1": { + "hide_name": 1, + "bits": [ 3613 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.f0": { + "hide_name": 1, + "bits": [ 3618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.f1": { + "hide_name": 1, + "bits": [ 3619 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.f2": { + "hide_name": 1, + "bits": [ 3620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.f3": { + "hide_name": 1, + "bits": [ 3621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.f4": { + "hide_name": 1, + "bits": [ 3622 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.f5": { + "hide_name": 1, + "bits": [ 3623 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.f6": { + "hide_name": 1, + "bits": [ 3624 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.f7": { + "hide_name": 1, + "bits": [ 3625 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.g0": { + "hide_name": 1, + "bits": [ 3627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.g1": { + "hide_name": 1, + "bits": [ 3628 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.g2": { + "hide_name": 1, + "bits": [ 3629 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.g3": { + "hide_name": 1, + "bits": [ 3630 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.h0": { + "hide_name": 1, + "bits": [ 3631 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39752.h1": { + "hide_name": 1, + "bits": [ 3632 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.f0": { + "hide_name": 1, + "bits": [ 3635 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.f1": { + "hide_name": 1, + "bits": [ 3636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.f2": { + "hide_name": 1, + "bits": [ 3637 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.f3": { + "hide_name": 1, + "bits": [ 3638 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.g0": { + "hide_name": 1, + "bits": [ 3640 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39753.g1": { + "hide_name": 1, + "bits": [ 3641 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.f0": { + "hide_name": 1, + "bits": [ 3643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.f1": { + "hide_name": 1, + "bits": [ 3644 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.f2": { + "hide_name": 1, + "bits": [ 3645 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.f3": { + "hide_name": 1, + "bits": [ 3646 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.g0": { + "hide_name": 1, + "bits": [ 3648 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39755.g1": { + "hide_name": 1, + "bits": [ 3649 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.f0": { + "hide_name": 1, + "bits": [ 3654 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.f1": { + "hide_name": 1, + "bits": [ 3655 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.f2": { + "hide_name": 1, + "bits": [ 3656 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.f3": { + "hide_name": 1, + "bits": [ 3657 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.f4": { + "hide_name": 1, + "bits": [ 3658 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.f5": { + "hide_name": 1, + "bits": [ 3659 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.f6": { + "hide_name": 1, + "bits": [ 3660 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.f7": { + "hide_name": 1, + "bits": [ 3661 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.g0": { + "hide_name": 1, + "bits": [ 3663 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.g1": { + "hide_name": 1, + "bits": [ 3664 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.g2": { + "hide_name": 1, + "bits": [ 3665 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.g3": { + "hide_name": 1, + "bits": [ 3666 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.h0": { + "hide_name": 1, + "bits": [ 3668 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39759.h1": { + "hide_name": 1, + "bits": [ 3669 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.f0": { + "hide_name": 1, + "bits": [ 3671 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.f1": { + "hide_name": 1, + "bits": [ 3672 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.f2": { + "hide_name": 1, + "bits": [ 3673 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.f3": { + "hide_name": 1, + "bits": [ 3674 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.g0": { + "hide_name": 1, + "bits": [ 3675 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39760.g1": { + "hide_name": 1, + "bits": [ 3676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.f0": { + "hide_name": 1, + "bits": [ 3678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.f1": { + "hide_name": 1, + "bits": [ 3679 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.f2": { + "hide_name": 1, + "bits": [ 3680 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.f3": { + "hide_name": 1, + "bits": [ 3681 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.g0": { + "hide_name": 1, + "bits": [ 3682 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39761.g1": { + "hide_name": 1, + "bits": [ 3683 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.f0": { + "hide_name": 1, + "bits": [ 3692 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.f1": { + "hide_name": 1, + "bits": [ 3693 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.f2": { + "hide_name": 1, + "bits": [ 3694 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.f3": { + "hide_name": 1, + "bits": [ 3695 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.f4": { + "hide_name": 1, + "bits": [ 3696 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.f5": { + "hide_name": 1, + "bits": [ 3697 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.f6": { + "hide_name": 1, + "bits": [ 3698 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.f7": { + "hide_name": 1, + "bits": [ 3699 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.g0": { + "hide_name": 1, + "bits": [ 3701 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.g1": { + "hide_name": 1, + "bits": [ 3702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.g2": { + "hide_name": 1, + "bits": [ 3703 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.g3": { + "hide_name": 1, + "bits": [ 3704 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.h0": { + "hide_name": 1, + "bits": [ 3705 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39765.h1": { + "hide_name": 1, + "bits": [ 3706 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.f0": { + "hide_name": 1, + "bits": [ 3709 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.f1": { + "hide_name": 1, + "bits": [ 3710 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.f2": { + "hide_name": 1, + "bits": [ 3711 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.f3": { + "hide_name": 1, + "bits": [ 3712 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.g0": { + "hide_name": 1, + "bits": [ 3713 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39766.g1": { + "hide_name": 1, + "bits": [ 3714 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.f0": { + "hide_name": 1, + "bits": [ 3716 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.f1": { + "hide_name": 1, + "bits": [ 3717 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.f2": { + "hide_name": 1, + "bits": [ 3718 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.f3": { + "hide_name": 1, + "bits": [ 3719 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.g0": { + "hide_name": 1, + "bits": [ 3720 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39767.g1": { + "hide_name": 1, + "bits": [ 3721 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.f0": { + "hide_name": 1, + "bits": [ 3725 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.f1": { + "hide_name": 1, + "bits": [ 3726 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.f2": { + "hide_name": 1, + "bits": [ 3727 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.f3": { + "hide_name": 1, + "bits": [ 3728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.f4": { + "hide_name": 1, + "bits": [ 3729 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.f5": { + "hide_name": 1, + "bits": [ 3730 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.f6": { + "hide_name": 1, + "bits": [ 3731 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.f7": { + "hide_name": 1, + "bits": [ 3732 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.g0": { + "hide_name": 1, + "bits": [ 3734 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.g1": { + "hide_name": 1, + "bits": [ 3735 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.g2": { + "hide_name": 1, + "bits": [ 3736 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.g3": { + "hide_name": 1, + "bits": [ 3737 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.h0": { + "hide_name": 1, + "bits": [ 3739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39770.h1": { + "hide_name": 1, + "bits": [ 3740 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.f0": { + "hide_name": 1, + "bits": [ 3742 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.f1": { + "hide_name": 1, + "bits": [ 3743 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.f2": { + "hide_name": 1, + "bits": [ 3744 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.f3": { + "hide_name": 1, + "bits": [ 3745 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.g0": { + "hide_name": 1, + "bits": [ 3746 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39771.g1": { + "hide_name": 1, + "bits": [ 3747 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.f0": { + "hide_name": 1, + "bits": [ 3749 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.f1": { + "hide_name": 1, + "bits": [ 3750 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.f2": { + "hide_name": 1, + "bits": [ 3751 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.f3": { + "hide_name": 1, + "bits": [ 3752 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.g0": { + "hide_name": 1, + "bits": [ 3753 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39772.g1": { + "hide_name": 1, + "bits": [ 3754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.f0": { + "hide_name": 1, + "bits": [ 3758 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.f1": { + "hide_name": 1, + "bits": [ 3759 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.f2": { + "hide_name": 1, + "bits": [ 3760 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.f3": { + "hide_name": 1, + "bits": [ 3761 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.f4": { + "hide_name": 1, + "bits": [ 3762 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.f5": { + "hide_name": 1, + "bits": [ 3763 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.f6": { + "hide_name": 1, + "bits": [ 3764 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.f7": { + "hide_name": 1, + "bits": [ 3765 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.g0": { + "hide_name": 1, + "bits": [ 3767 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.g1": { + "hide_name": 1, + "bits": [ 3768 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.g2": { + "hide_name": 1, + "bits": [ 3769 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.g3": { + "hide_name": 1, + "bits": [ 3770 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.h0": { + "hide_name": 1, + "bits": [ 3772 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39774.h1": { + "hide_name": 1, + "bits": [ 3773 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.f0": { + "hide_name": 1, + "bits": [ 3775 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.f1": { + "hide_name": 1, + "bits": [ 3776 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.f2": { + "hide_name": 1, + "bits": [ 3777 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.f3": { + "hide_name": 1, + "bits": [ 3778 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.g0": { + "hide_name": 1, + "bits": [ 3779 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39775.g1": { + "hide_name": 1, + "bits": [ 3780 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.f0": { + "hide_name": 1, + "bits": [ 3782 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.f1": { + "hide_name": 1, + "bits": [ 3783 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.f2": { + "hide_name": 1, + "bits": [ 3784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.f3": { + "hide_name": 1, + "bits": [ 3785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.g0": { + "hide_name": 1, + "bits": [ 3787 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39776.g1": { + "hide_name": 1, + "bits": [ 3788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.f0": { + "hide_name": 1, + "bits": [ 3793 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.f1": { + "hide_name": 1, + "bits": [ 3794 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.f2": { + "hide_name": 1, + "bits": [ 3795 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.f3": { + "hide_name": 1, + "bits": [ 3796 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.f4": { + "hide_name": 1, + "bits": [ 3797 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.f5": { + "hide_name": 1, + "bits": [ 3798 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.f6": { + "hide_name": 1, + "bits": [ 3799 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.f7": { + "hide_name": 1, + "bits": [ 3800 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.g0": { + "hide_name": 1, + "bits": [ 3802 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.g1": { + "hide_name": 1, + "bits": [ 3803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.g2": { + "hide_name": 1, + "bits": [ 3804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.g3": { + "hide_name": 1, + "bits": [ 3805 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.h0": { + "hide_name": 1, + "bits": [ 3807 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39779.h1": { + "hide_name": 1, + "bits": [ 3808 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.f0": { + "hide_name": 1, + "bits": [ 3810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.f1": { + "hide_name": 1, + "bits": [ 3811 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.f2": { + "hide_name": 1, + "bits": [ 3812 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.f3": { + "hide_name": 1, + "bits": [ 3813 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.g0": { + "hide_name": 1, + "bits": [ 3814 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39780.g1": { + "hide_name": 1, + "bits": [ 3815 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.f0": { + "hide_name": 1, + "bits": [ 3817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.f1": { + "hide_name": 1, + "bits": [ 3818 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.f2": { + "hide_name": 1, + "bits": [ 3819 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.f3": { + "hide_name": 1, + "bits": [ 3820 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.g0": { + "hide_name": 1, + "bits": [ 3822 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39781.g1": { + "hide_name": 1, + "bits": [ 3823 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39786.f0": { + "hide_name": 1, + "bits": [ 3826 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39786.f1": { + "hide_name": 1, + "bits": [ 3827 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39788.f0": { + "hide_name": 1, + "bits": [ 3830 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39788.f1": { + "hide_name": 1, + "bits": [ 3831 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39790.f0": { + "hide_name": 1, + "bits": [ 3834 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39790.f1": { + "hide_name": 1, + "bits": [ 3835 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39792.f0": { + "hide_name": 1, + "bits": [ 3838 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39792.f1": { + "hide_name": 1, + "bits": [ 3839 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.f0": { + "hide_name": 1, + "bits": [ 3842 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.f1": { + "hide_name": 1, + "bits": [ 3843 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.f2": { + "hide_name": 1, + "bits": [ 3844 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.f3": { + "hide_name": 1, + "bits": [ 3845 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.f4": { + "hide_name": 1, + "bits": [ 3846 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.f5": { + "hide_name": 1, + "bits": [ 3847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.f6": { + "hide_name": 1, + "bits": [ 3848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.f7": { + "hide_name": 1, + "bits": [ 3849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.g0": { + "hide_name": 1, + "bits": [ 3851 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.g1": { + "hide_name": 1, + "bits": [ 3852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.g2": { + "hide_name": 1, + "bits": [ 3853 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.g3": { + "hide_name": 1, + "bits": [ 3854 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.h0": { + "hide_name": 1, + "bits": [ 3855 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39794.h1": { + "hide_name": 1, + "bits": [ 3856 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39796.f0": { + "hide_name": 1, + "bits": [ 3858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39796.f1": { + "hide_name": 1, + "bits": [ 3859 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.f0": { + "hide_name": 1, + "bits": [ 3864 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.f1": { + "hide_name": 1, + "bits": [ 3865 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.f2": { + "hide_name": 1, + "bits": [ 3866 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.f3": { + "hide_name": 1, + "bits": [ 3867 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.f4": { + "hide_name": 1, + "bits": [ 3868 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.f5": { + "hide_name": 1, + "bits": [ 3869 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.f6": { + "hide_name": 1, + "bits": [ 3870 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.f7": { + "hide_name": 1, + "bits": [ 3871 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.g0": { + "hide_name": 1, + "bits": [ 3873 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.g1": { + "hide_name": 1, + "bits": [ 3874 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.g2": { + "hide_name": 1, + "bits": [ 3875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.g3": { + "hide_name": 1, + "bits": [ 3876 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.h0": { + "hide_name": 1, + "bits": [ 3878 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39797.h1": { + "hide_name": 1, + "bits": [ 3879 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39798.f0": { + "hide_name": 1, + "bits": [ 3883 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39798.f1": { + "hide_name": 1, + "bits": [ 3884 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39800.f0": { + "hide_name": 1, + "bits": [ 3886 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39800.f1": { + "hide_name": 1, + "bits": [ 3887 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39801.f0": { + "hide_name": 1, + "bits": [ 3889 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39801.f1": { + "hide_name": 1, + "bits": [ 3890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39802.f0": { + "hide_name": 1, + "bits": [ 3893 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39802.f1": { + "hide_name": 1, + "bits": [ 3894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.f0": { + "hide_name": 1, + "bits": [ 3897 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.f1": { + "hide_name": 1, + "bits": [ 3898 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.f2": { + "hide_name": 1, + "bits": [ 3899 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.f3": { + "hide_name": 1, + "bits": [ 3900 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.f4": { + "hide_name": 1, + "bits": [ 3901 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.f5": { + "hide_name": 1, + "bits": [ 3902 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.f6": { + "hide_name": 1, + "bits": [ 3903 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.f7": { + "hide_name": 1, + "bits": [ 3904 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.g0": { + "hide_name": 1, + "bits": [ 3906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.g1": { + "hide_name": 1, + "bits": [ 3907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.g2": { + "hide_name": 1, + "bits": [ 3908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.g3": { + "hide_name": 1, + "bits": [ 3909 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.h0": { + "hide_name": 1, + "bits": [ 3910 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39804.h1": { + "hide_name": 1, + "bits": [ 3911 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39806.f0": { + "hide_name": 1, + "bits": [ 3914 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39806.f1": { + "hide_name": 1, + "bits": [ 3915 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39808.f0": { + "hide_name": 1, + "bits": [ 3917 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39808.f1": { + "hide_name": 1, + "bits": [ 3918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.f0": { + "hide_name": 1, + "bits": [ 3924 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.f1": { + "hide_name": 1, + "bits": [ 3925 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.f2": { + "hide_name": 1, + "bits": [ 3926 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.f3": { + "hide_name": 1, + "bits": [ 3927 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.f4": { + "hide_name": 1, + "bits": [ 3928 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.f5": { + "hide_name": 1, + "bits": [ 3929 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.f6": { + "hide_name": 1, + "bits": [ 3930 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.f7": { + "hide_name": 1, + "bits": [ 3931 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.g0": { + "hide_name": 1, + "bits": [ 3933 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.g1": { + "hide_name": 1, + "bits": [ 3934 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.g2": { + "hide_name": 1, + "bits": [ 3935 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.g3": { + "hide_name": 1, + "bits": [ 3936 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.h0": { + "hide_name": 1, + "bits": [ 3938 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39810.h1": { + "hide_name": 1, + "bits": [ 3939 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39811.f0": { + "hide_name": 1, + "bits": [ 3943 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39811.f1": { + "hide_name": 1, + "bits": [ 3944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39813.f0": { + "hide_name": 1, + "bits": [ 3946 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39813.f1": { + "hide_name": 1, + "bits": [ 3947 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.f0": { + "hide_name": 1, + "bits": [ 3950 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.f1": { + "hide_name": 1, + "bits": [ 3951 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.f2": { + "hide_name": 1, + "bits": [ 3952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.f3": { + "hide_name": 1, + "bits": [ 3953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.f4": { + "hide_name": 1, + "bits": [ 3954 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.f5": { + "hide_name": 1, + "bits": [ 3955 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.f6": { + "hide_name": 1, + "bits": [ 3956 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.f7": { + "hide_name": 1, + "bits": [ 3957 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.g0": { + "hide_name": 1, + "bits": [ 3959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.g1": { + "hide_name": 1, + "bits": [ 3960 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.g2": { + "hide_name": 1, + "bits": [ 3961 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.g3": { + "hide_name": 1, + "bits": [ 3962 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.h0": { + "hide_name": 1, + "bits": [ 3963 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39814.h1": { + "hide_name": 1, + "bits": [ 3964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39816.f0": { + "hide_name": 1, + "bits": [ 3966 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39816.f1": { + "hide_name": 1, + "bits": [ 3967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39817.f0": { + "hide_name": 1, + "bits": [ 3969 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39817.f1": { + "hide_name": 1, + "bits": [ 3970 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39818.f0": { + "hide_name": 1, + "bits": [ 3972 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39818.f1": { + "hide_name": 1, + "bits": [ 3973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39819.f0": { + "hide_name": 1, + "bits": [ 3975 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39819.f1": { + "hide_name": 1, + "bits": [ 3976 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.f0": { + "hide_name": 1, + "bits": [ 3981 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.f1": { + "hide_name": 1, + "bits": [ 3982 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.f2": { + "hide_name": 1, + "bits": [ 3983 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.f3": { + "hide_name": 1, + "bits": [ 3984 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.f4": { + "hide_name": 1, + "bits": [ 3985 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.f5": { + "hide_name": 1, + "bits": [ 3986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.f6": { + "hide_name": 1, + "bits": [ 3987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.f7": { + "hide_name": 1, + "bits": [ 3988 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.g0": { + "hide_name": 1, + "bits": [ 3990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.g1": { + "hide_name": 1, + "bits": [ 3991 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.g2": { + "hide_name": 1, + "bits": [ 3992 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.g3": { + "hide_name": 1, + "bits": [ 3993 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.h0": { + "hide_name": 1, + "bits": [ 3995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39820.h1": { + "hide_name": 1, + "bits": [ 3996 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39821.f0": { + "hide_name": 1, + "bits": [ 4000 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39821.f1": { + "hide_name": 1, + "bits": [ 4001 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39823.f0": { + "hide_name": 1, + "bits": [ 4003 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39823.f1": { + "hide_name": 1, + "bits": [ 4004 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.f0": { + "hide_name": 1, + "bits": [ 4007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.f1": { + "hide_name": 1, + "bits": [ 4008 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.f2": { + "hide_name": 1, + "bits": [ 4009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.f3": { + "hide_name": 1, + "bits": [ 4010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.f4": { + "hide_name": 1, + "bits": [ 4011 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.f5": { + "hide_name": 1, + "bits": [ 4012 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.f6": { + "hide_name": 1, + "bits": [ 4013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.f7": { + "hide_name": 1, + "bits": [ 4014 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.g0": { + "hide_name": 1, + "bits": [ 4016 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.g1": { + "hide_name": 1, + "bits": [ 4017 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.g2": { + "hide_name": 1, + "bits": [ 4018 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.g3": { + "hide_name": 1, + "bits": [ 4019 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.h0": { + "hide_name": 1, + "bits": [ 4020 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39824.h1": { + "hide_name": 1, + "bits": [ 4021 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39826.f0": { + "hide_name": 1, + "bits": [ 4024 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39826.f1": { + "hide_name": 1, + "bits": [ 4025 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39828.f0": { + "hide_name": 1, + "bits": [ 4027 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39828.f1": { + "hide_name": 1, + "bits": [ 4028 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39829.f0": { + "hide_name": 1, + "bits": [ 4030 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39829.f1": { + "hide_name": 1, + "bits": [ 4031 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39830.f0": { + "hide_name": 1, + "bits": [ 4033 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39830.f1": { + "hide_name": 1, + "bits": [ 4034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.f0": { + "hide_name": 1, + "bits": [ 4036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.f1": { + "hide_name": 1, + "bits": [ 4037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.f2": { + "hide_name": 1, + "bits": [ 4038 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.f3": { + "hide_name": 1, + "bits": [ 4039 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.f4": { + "hide_name": 1, + "bits": [ 4040 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.f5": { + "hide_name": 1, + "bits": [ 4041 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.f6": { + "hide_name": 1, + "bits": [ 4042 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.f7": { + "hide_name": 1, + "bits": [ 4043 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.g0": { + "hide_name": 1, + "bits": [ 4044 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.g1": { + "hide_name": 1, + "bits": [ 4045 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.g2": { + "hide_name": 1, + "bits": [ 4046 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.g3": { + "hide_name": 1, + "bits": [ 4047 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.h0": { + "hide_name": 1, + "bits": [ 4048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39831.h1": { + "hide_name": 1, + "bits": [ 4049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.f0": { + "hide_name": 1, + "bits": [ 4051 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.f1": { + "hide_name": 1, + "bits": [ 4052 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.f2": { + "hide_name": 1, + "bits": [ 4053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.f3": { + "hide_name": 1, + "bits": [ 4054 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.f4": { + "hide_name": 1, + "bits": [ 4055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.f5": { + "hide_name": 1, + "bits": [ 4056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.f6": { + "hide_name": 1, + "bits": [ 4057 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.f7": { + "hide_name": 1, + "bits": [ 4058 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.g0": { + "hide_name": 1, + "bits": [ 4059 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.g1": { + "hide_name": 1, + "bits": [ 4060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.g2": { + "hide_name": 1, + "bits": [ 4061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.g3": { + "hide_name": 1, + "bits": [ 4062 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.h0": { + "hide_name": 1, + "bits": [ 4063 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39832.h1": { + "hide_name": 1, + "bits": [ 4064 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.f0": { + "hide_name": 1, + "bits": [ 4070 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.f1": { + "hide_name": 1, + "bits": [ 4071 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.f2": { + "hide_name": 1, + "bits": [ 4072 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.f3": { + "hide_name": 1, + "bits": [ 4073 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.f4": { + "hide_name": 1, + "bits": [ 4074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.f5": { + "hide_name": 1, + "bits": [ 4075 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.f6": { + "hide_name": 1, + "bits": [ 4076 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.f7": { + "hide_name": 1, + "bits": [ 4077 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.g0": { + "hide_name": 1, + "bits": [ 4079 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.g1": { + "hide_name": 1, + "bits": [ 4080 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.g2": { + "hide_name": 1, + "bits": [ 4081 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.g3": { + "hide_name": 1, + "bits": [ 4082 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.h0": { + "hide_name": 1, + "bits": [ 4083 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39835.h1": { + "hide_name": 1, + "bits": [ 4084 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.f0": { + "hide_name": 1, + "bits": [ 4087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.f1": { + "hide_name": 1, + "bits": [ 4088 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.f2": { + "hide_name": 1, + "bits": [ 4089 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.f3": { + "hide_name": 1, + "bits": [ 4090 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.f4": { + "hide_name": 1, + "bits": [ 4091 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.f5": { + "hide_name": 1, + "bits": [ 4092 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.f6": { + "hide_name": 1, + "bits": [ 4093 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.f7": { + "hide_name": 1, + "bits": [ 4094 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.g0": { + "hide_name": 1, + "bits": [ 4095 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.g1": { + "hide_name": 1, + "bits": [ 4096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.g2": { + "hide_name": 1, + "bits": [ 4097 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.g3": { + "hide_name": 1, + "bits": [ 4098 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.h0": { + "hide_name": 1, + "bits": [ 4099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39836.h1": { + "hide_name": 1, + "bits": [ 4100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.f0": { + "hide_name": 1, + "bits": [ 4102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.f1": { + "hide_name": 1, + "bits": [ 4103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.f2": { + "hide_name": 1, + "bits": [ 4104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.f3": { + "hide_name": 1, + "bits": [ 4105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.f4": { + "hide_name": 1, + "bits": [ 4106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.f5": { + "hide_name": 1, + "bits": [ 4107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.f6": { + "hide_name": 1, + "bits": [ 4108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.f7": { + "hide_name": 1, + "bits": [ 4109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.g0": { + "hide_name": 1, + "bits": [ 4110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.g1": { + "hide_name": 1, + "bits": [ 4111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.g2": { + "hide_name": 1, + "bits": [ 4112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.g3": { + "hide_name": 1, + "bits": [ 4113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.h0": { + "hide_name": 1, + "bits": [ 4114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39837.h1": { + "hide_name": 1, + "bits": [ 4115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.f0": { + "hide_name": 1, + "bits": [ 4118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.f1": { + "hide_name": 1, + "bits": [ 4119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.f2": { + "hide_name": 1, + "bits": [ 4120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.f3": { + "hide_name": 1, + "bits": [ 4121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.f4": { + "hide_name": 1, + "bits": [ 4122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.f5": { + "hide_name": 1, + "bits": [ 4123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.f6": { + "hide_name": 1, + "bits": [ 4124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.f7": { + "hide_name": 1, + "bits": [ 4125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.g0": { + "hide_name": 1, + "bits": [ 4127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.g1": { + "hide_name": 1, + "bits": [ 4128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.g2": { + "hide_name": 1, + "bits": [ 4129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.g3": { + "hide_name": 1, + "bits": [ 4130 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.h0": { + "hide_name": 1, + "bits": [ 4132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39840.h1": { + "hide_name": 1, + "bits": [ 4133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.f0": { + "hide_name": 1, + "bits": [ 4135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.f1": { + "hide_name": 1, + "bits": [ 4136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.f2": { + "hide_name": 1, + "bits": [ 4137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.f3": { + "hide_name": 1, + "bits": [ 4138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.f4": { + "hide_name": 1, + "bits": [ 4139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.f5": { + "hide_name": 1, + "bits": [ 4140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.f6": { + "hide_name": 1, + "bits": [ 4141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.f7": { + "hide_name": 1, + "bits": [ 4142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.g0": { + "hide_name": 1, + "bits": [ 4143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.g1": { + "hide_name": 1, + "bits": [ 4144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.g2": { + "hide_name": 1, + "bits": [ 4145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.g3": { + "hide_name": 1, + "bits": [ 4146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.h0": { + "hide_name": 1, + "bits": [ 4148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39841.h1": { + "hide_name": 1, + "bits": [ 4149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.f0": { + "hide_name": 1, + "bits": [ 4151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.f1": { + "hide_name": 1, + "bits": [ 4152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.f2": { + "hide_name": 1, + "bits": [ 4153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.f3": { + "hide_name": 1, + "bits": [ 4154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.f4": { + "hide_name": 1, + "bits": [ 4155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.f5": { + "hide_name": 1, + "bits": [ 4156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.f6": { + "hide_name": 1, + "bits": [ 4157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.f7": { + "hide_name": 1, + "bits": [ 4158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.g0": { + "hide_name": 1, + "bits": [ 4159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.g1": { + "hide_name": 1, + "bits": [ 4160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.g2": { + "hide_name": 1, + "bits": [ 4161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.g3": { + "hide_name": 1, + "bits": [ 4162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.h0": { + "hide_name": 1, + "bits": [ 4163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39843.h1": { + "hide_name": 1, + "bits": [ 4164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.f0": { + "hide_name": 1, + "bits": [ 4169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.f1": { + "hide_name": 1, + "bits": [ 4170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.f2": { + "hide_name": 1, + "bits": [ 4171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.f3": { + "hide_name": 1, + "bits": [ 4172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.f4": { + "hide_name": 1, + "bits": [ 4173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.f5": { + "hide_name": 1, + "bits": [ 4174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.f6": { + "hide_name": 1, + "bits": [ 4175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.f7": { + "hide_name": 1, + "bits": [ 4176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.g0": { + "hide_name": 1, + "bits": [ 4178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.g1": { + "hide_name": 1, + "bits": [ 4179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.g2": { + "hide_name": 1, + "bits": [ 4180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.g3": { + "hide_name": 1, + "bits": [ 4181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.h0": { + "hide_name": 1, + "bits": [ 4183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39844.h1": { + "hide_name": 1, + "bits": [ 4184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.f0": { + "hide_name": 1, + "bits": [ 4185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.f1": { + "hide_name": 1, + "bits": [ 4186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.f2": { + "hide_name": 1, + "bits": [ 4187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.f3": { + "hide_name": 1, + "bits": [ 4188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.f4": { + "hide_name": 1, + "bits": [ 4189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.f5": { + "hide_name": 1, + "bits": [ 4190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.f6": { + "hide_name": 1, + "bits": [ 4191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.f7": { + "hide_name": 1, + "bits": [ 4192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.g0": { + "hide_name": 1, + "bits": [ 4193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.g1": { + "hide_name": 1, + "bits": [ 4194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.g2": { + "hide_name": 1, + "bits": [ 4195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.g3": { + "hide_name": 1, + "bits": [ 4196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.h0": { + "hide_name": 1, + "bits": [ 4197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39845.h1": { + "hide_name": 1, + "bits": [ 4198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.f0": { + "hide_name": 1, + "bits": [ 4200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.f1": { + "hide_name": 1, + "bits": [ 4201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.f2": { + "hide_name": 1, + "bits": [ 4202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.f3": { + "hide_name": 1, + "bits": [ 4203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.f4": { + "hide_name": 1, + "bits": [ 4204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.f5": { + "hide_name": 1, + "bits": [ 4205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.f6": { + "hide_name": 1, + "bits": [ 4206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.f7": { + "hide_name": 1, + "bits": [ 4207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.g0": { + "hide_name": 1, + "bits": [ 4208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.g1": { + "hide_name": 1, + "bits": [ 4209 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.g2": { + "hide_name": 1, + "bits": [ 4210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.g3": { + "hide_name": 1, + "bits": [ 4211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.h0": { + "hide_name": 1, + "bits": [ 4212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39846.h1": { + "hide_name": 1, + "bits": [ 4213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.f0": { + "hide_name": 1, + "bits": [ 4221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.f1": { + "hide_name": 1, + "bits": [ 4222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.f2": { + "hide_name": 1, + "bits": [ 4223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.f3": { + "hide_name": 1, + "bits": [ 4224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.f4": { + "hide_name": 1, + "bits": [ 4225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.f5": { + "hide_name": 1, + "bits": [ 4226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.f6": { + "hide_name": 1, + "bits": [ 4227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.f7": { + "hide_name": 1, + "bits": [ 4228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.g0": { + "hide_name": 1, + "bits": [ 4230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.g1": { + "hide_name": 1, + "bits": [ 4231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.g2": { + "hide_name": 1, + "bits": [ 4232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.g3": { + "hide_name": 1, + "bits": [ 4233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.h0": { + "hide_name": 1, + "bits": [ 4235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39850.h1": { + "hide_name": 1, + "bits": [ 4236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.f0": { + "hide_name": 1, + "bits": [ 4238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.f1": { + "hide_name": 1, + "bits": [ 4239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.f2": { + "hide_name": 1, + "bits": [ 4240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.f3": { + "hide_name": 1, + "bits": [ 4241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.f4": { + "hide_name": 1, + "bits": [ 4242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.f5": { + "hide_name": 1, + "bits": [ 4243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.f6": { + "hide_name": 1, + "bits": [ 4244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.f7": { + "hide_name": 1, + "bits": [ 4245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.g0": { + "hide_name": 1, + "bits": [ 4246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.g1": { + "hide_name": 1, + "bits": [ 4247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.g2": { + "hide_name": 1, + "bits": [ 4248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.g3": { + "hide_name": 1, + "bits": [ 4249 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.h0": { + "hide_name": 1, + "bits": [ 4250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39851.h1": { + "hide_name": 1, + "bits": [ 4251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.f0": { + "hide_name": 1, + "bits": [ 4253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.f1": { + "hide_name": 1, + "bits": [ 4254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.f2": { + "hide_name": 1, + "bits": [ 4255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.f3": { + "hide_name": 1, + "bits": [ 4256 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.f4": { + "hide_name": 1, + "bits": [ 4257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.f5": { + "hide_name": 1, + "bits": [ 4258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.f6": { + "hide_name": 1, + "bits": [ 4259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.f7": { + "hide_name": 1, + "bits": [ 4260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.g0": { + "hide_name": 1, + "bits": [ 4261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.g1": { + "hide_name": 1, + "bits": [ 4262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.g2": { + "hide_name": 1, + "bits": [ 4263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.g3": { + "hide_name": 1, + "bits": [ 4264 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.h0": { + "hide_name": 1, + "bits": [ 4265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39852.h1": { + "hide_name": 1, + "bits": [ 4266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.f0": { + "hide_name": 1, + "bits": [ 4269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.f1": { + "hide_name": 1, + "bits": [ 4270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.f2": { + "hide_name": 1, + "bits": [ 4271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.f3": { + "hide_name": 1, + "bits": [ 4272 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.f4": { + "hide_name": 1, + "bits": [ 4273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.f5": { + "hide_name": 1, + "bits": [ 4274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.f6": { + "hide_name": 1, + "bits": [ 4275 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.f7": { + "hide_name": 1, + "bits": [ 4276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.g0": { + "hide_name": 1, + "bits": [ 4278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.g1": { + "hide_name": 1, + "bits": [ 4279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.g2": { + "hide_name": 1, + "bits": [ 4280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.g3": { + "hide_name": 1, + "bits": [ 4281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.h0": { + "hide_name": 1, + "bits": [ 4283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39853.h1": { + "hide_name": 1, + "bits": [ 4284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.f0": { + "hide_name": 1, + "bits": [ 4286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.f1": { + "hide_name": 1, + "bits": [ 4287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.f2": { + "hide_name": 1, + "bits": [ 4288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.f3": { + "hide_name": 1, + "bits": [ 4289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.f4": { + "hide_name": 1, + "bits": [ 4290 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.f5": { + "hide_name": 1, + "bits": [ 4291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.f6": { + "hide_name": 1, + "bits": [ 4292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.f7": { + "hide_name": 1, + "bits": [ 4293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.g0": { + "hide_name": 1, + "bits": [ 4294 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.g1": { + "hide_name": 1, + "bits": [ 4295 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.g2": { + "hide_name": 1, + "bits": [ 4296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.g3": { + "hide_name": 1, + "bits": [ 4297 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.h0": { + "hide_name": 1, + "bits": [ 4298 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39854.h1": { + "hide_name": 1, + "bits": [ 4299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.f0": { + "hide_name": 1, + "bits": [ 4301 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.f1": { + "hide_name": 1, + "bits": [ 4302 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.f2": { + "hide_name": 1, + "bits": [ 4303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.f3": { + "hide_name": 1, + "bits": [ 4304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.f4": { + "hide_name": 1, + "bits": [ 4305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.f5": { + "hide_name": 1, + "bits": [ 4306 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.f6": { + "hide_name": 1, + "bits": [ 4307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.f7": { + "hide_name": 1, + "bits": [ 4308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.g0": { + "hide_name": 1, + "bits": [ 4309 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.g1": { + "hide_name": 1, + "bits": [ 4310 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.g2": { + "hide_name": 1, + "bits": [ 4311 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.g3": { + "hide_name": 1, + "bits": [ 4312 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.h0": { + "hide_name": 1, + "bits": [ 4313 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39855.h1": { + "hide_name": 1, + "bits": [ 4314 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.f0": { + "hide_name": 1, + "bits": [ 4318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.f1": { + "hide_name": 1, + "bits": [ 4319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.f2": { + "hide_name": 1, + "bits": [ 4320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.f3": { + "hide_name": 1, + "bits": [ 4321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.f4": { + "hide_name": 1, + "bits": [ 4322 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.f5": { + "hide_name": 1, + "bits": [ 4323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.f6": { + "hide_name": 1, + "bits": [ 4324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.f7": { + "hide_name": 1, + "bits": [ 4325 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.g0": { + "hide_name": 1, + "bits": [ 4327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.g1": { + "hide_name": 1, + "bits": [ 4328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.g2": { + "hide_name": 1, + "bits": [ 4329 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.g3": { + "hide_name": 1, + "bits": [ 4330 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.h0": { + "hide_name": 1, + "bits": [ 4332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39856.h1": { + "hide_name": 1, + "bits": [ 4333 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.f0": { + "hide_name": 1, + "bits": [ 4335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.f1": { + "hide_name": 1, + "bits": [ 4336 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.f2": { + "hide_name": 1, + "bits": [ 4337 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.f3": { + "hide_name": 1, + "bits": [ 4338 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.f4": { + "hide_name": 1, + "bits": [ 4339 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.f5": { + "hide_name": 1, + "bits": [ 4340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.f6": { + "hide_name": 1, + "bits": [ 4341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.f7": { + "hide_name": 1, + "bits": [ 4342 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.g0": { + "hide_name": 1, + "bits": [ 4343 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.g1": { + "hide_name": 1, + "bits": [ 4344 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.g2": { + "hide_name": 1, + "bits": [ 4345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.g3": { + "hide_name": 1, + "bits": [ 4346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.h0": { + "hide_name": 1, + "bits": [ 4347 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39857.h1": { + "hide_name": 1, + "bits": [ 4348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.f0": { + "hide_name": 1, + "bits": [ 4350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.f1": { + "hide_name": 1, + "bits": [ 4351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.f2": { + "hide_name": 1, + "bits": [ 4352 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.f3": { + "hide_name": 1, + "bits": [ 4353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.f4": { + "hide_name": 1, + "bits": [ 4354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.f5": { + "hide_name": 1, + "bits": [ 4355 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.f6": { + "hide_name": 1, + "bits": [ 4356 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.f7": { + "hide_name": 1, + "bits": [ 4357 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.g0": { + "hide_name": 1, + "bits": [ 4358 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.g1": { + "hide_name": 1, + "bits": [ 4359 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.g2": { + "hide_name": 1, + "bits": [ 4360 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.g3": { + "hide_name": 1, + "bits": [ 4361 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.h0": { + "hide_name": 1, + "bits": [ 4363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39858.h1": { + "hide_name": 1, + "bits": [ 4364 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.f0": { + "hide_name": 1, + "bits": [ 4367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.f1": { + "hide_name": 1, + "bits": [ 4368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.f2": { + "hide_name": 1, + "bits": [ 4369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.f3": { + "hide_name": 1, + "bits": [ 4370 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.f4": { + "hide_name": 1, + "bits": [ 4371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.f5": { + "hide_name": 1, + "bits": [ 4372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.f6": { + "hide_name": 1, + "bits": [ 4373 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.f7": { + "hide_name": 1, + "bits": [ 4374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.g0": { + "hide_name": 1, + "bits": [ 4376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.g1": { + "hide_name": 1, + "bits": [ 4377 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.g2": { + "hide_name": 1, + "bits": [ 4378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.g3": { + "hide_name": 1, + "bits": [ 4379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.h0": { + "hide_name": 1, + "bits": [ 4380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39861.h1": { + "hide_name": 1, + "bits": [ 4381 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.f0": { + "hide_name": 1, + "bits": [ 4384 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.f1": { + "hide_name": 1, + "bits": [ 4385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.f2": { + "hide_name": 1, + "bits": [ 4386 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.f3": { + "hide_name": 1, + "bits": [ 4387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.f4": { + "hide_name": 1, + "bits": [ 4388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.f5": { + "hide_name": 1, + "bits": [ 4389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.f6": { + "hide_name": 1, + "bits": [ 4390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.f7": { + "hide_name": 1, + "bits": [ 4391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.g0": { + "hide_name": 1, + "bits": [ 4392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.g1": { + "hide_name": 1, + "bits": [ 4393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.g2": { + "hide_name": 1, + "bits": [ 4394 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.g3": { + "hide_name": 1, + "bits": [ 4395 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.h0": { + "hide_name": 1, + "bits": [ 4396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39862.h1": { + "hide_name": 1, + "bits": [ 4397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.f0": { + "hide_name": 1, + "bits": [ 4399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.f1": { + "hide_name": 1, + "bits": [ 4400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.f2": { + "hide_name": 1, + "bits": [ 4401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.f3": { + "hide_name": 1, + "bits": [ 4402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.f4": { + "hide_name": 1, + "bits": [ 4403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.f5": { + "hide_name": 1, + "bits": [ 4404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.f6": { + "hide_name": 1, + "bits": [ 4405 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.f7": { + "hide_name": 1, + "bits": [ 4406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.g0": { + "hide_name": 1, + "bits": [ 4407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.g1": { + "hide_name": 1, + "bits": [ 4408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.g2": { + "hide_name": 1, + "bits": [ 4409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.g3": { + "hide_name": 1, + "bits": [ 4410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.h0": { + "hide_name": 1, + "bits": [ 4411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39863.h1": { + "hide_name": 1, + "bits": [ 4412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39865.f0": { + "hide_name": 1, + "bits": [ 4415 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39865.f1": { + "hide_name": 1, + "bits": [ 4416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.f0": { + "hide_name": 1, + "bits": [ 4419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.f1": { + "hide_name": 1, + "bits": [ 4420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.f2": { + "hide_name": 1, + "bits": [ 4421 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.f3": { + "hide_name": 1, + "bits": [ 4422 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.f4": { + "hide_name": 1, + "bits": [ 4423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.f5": { + "hide_name": 1, + "bits": [ 4424 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.f6": { + "hide_name": 1, + "bits": [ 4425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.f7": { + "hide_name": 1, + "bits": [ 4426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.g0": { + "hide_name": 1, + "bits": [ 4428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.g1": { + "hide_name": 1, + "bits": [ 4429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.g2": { + "hide_name": 1, + "bits": [ 4430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.g3": { + "hide_name": 1, + "bits": [ 4431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.h0": { + "hide_name": 1, + "bits": [ 4432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39867.h1": { + "hide_name": 1, + "bits": [ 4433 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39869.f0": { + "hide_name": 1, + "bits": [ 4435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39869.f1": { + "hide_name": 1, + "bits": [ 4436 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39870.f0": { + "hide_name": 1, + "bits": [ 4439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39870.f1": { + "hide_name": 1, + "bits": [ 4440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39872.f0": { + "hide_name": 1, + "bits": [ 4443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39872.f1": { + "hide_name": 1, + "bits": [ 4444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39874.f0": { + "hide_name": 1, + "bits": [ 4446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39874.f1": { + "hide_name": 1, + "bits": [ 4447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.f0": { + "hide_name": 1, + "bits": [ 4453 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.f1": { + "hide_name": 1, + "bits": [ 4454 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.f2": { + "hide_name": 1, + "bits": [ 4455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.f3": { + "hide_name": 1, + "bits": [ 4456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.f4": { + "hide_name": 1, + "bits": [ 4457 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.f5": { + "hide_name": 1, + "bits": [ 4458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.f6": { + "hide_name": 1, + "bits": [ 4459 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.f7": { + "hide_name": 1, + "bits": [ 4460 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.g0": { + "hide_name": 1, + "bits": [ 4462 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.g1": { + "hide_name": 1, + "bits": [ 4463 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.g2": { + "hide_name": 1, + "bits": [ 4464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.g3": { + "hide_name": 1, + "bits": [ 4465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.h0": { + "hide_name": 1, + "bits": [ 4467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39876.h1": { + "hide_name": 1, + "bits": [ 4468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.f0": { + "hide_name": 1, + "bits": [ 4472 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.f1": { + "hide_name": 1, + "bits": [ 4473 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.f2": { + "hide_name": 1, + "bits": [ 4474 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.f3": { + "hide_name": 1, + "bits": [ 4475 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.f4": { + "hide_name": 1, + "bits": [ 4476 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.f5": { + "hide_name": 1, + "bits": [ 4477 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.f6": { + "hide_name": 1, + "bits": [ 4478 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.f7": { + "hide_name": 1, + "bits": [ 4479 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.g0": { + "hide_name": 1, + "bits": [ 4481 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.g1": { + "hide_name": 1, + "bits": [ 4482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.g2": { + "hide_name": 1, + "bits": [ 4483 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.g3": { + "hide_name": 1, + "bits": [ 4484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.h0": { + "hide_name": 1, + "bits": [ 4485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39877.h1": { + "hide_name": 1, + "bits": [ 4486 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39879.f0": { + "hide_name": 1, + "bits": [ 4488 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39879.f1": { + "hide_name": 1, + "bits": [ 4489 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39880.f0": { + "hide_name": 1, + "bits": [ 4492 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39880.f1": { + "hide_name": 1, + "bits": [ 4493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39882.f0": { + "hide_name": 1, + "bits": [ 4495 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39882.f1": { + "hide_name": 1, + "bits": [ 4496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39883.f0": { + "hide_name": 1, + "bits": [ 4499 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39883.f1": { + "hide_name": 1, + "bits": [ 4500 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39885.f0": { + "hide_name": 1, + "bits": [ 4502 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39885.f1": { + "hide_name": 1, + "bits": [ 4503 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39886.f0": { + "hide_name": 1, + "bits": [ 4505 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39886.f1": { + "hide_name": 1, + "bits": [ 4506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.f0": { + "hide_name": 1, + "bits": [ 4510 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.f1": { + "hide_name": 1, + "bits": [ 4511 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.f2": { + "hide_name": 1, + "bits": [ 4512 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.f3": { + "hide_name": 1, + "bits": [ 4513 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.f4": { + "hide_name": 1, + "bits": [ 4514 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.f5": { + "hide_name": 1, + "bits": [ 4515 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.f6": { + "hide_name": 1, + "bits": [ 4516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.f7": { + "hide_name": 1, + "bits": [ 4517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.g0": { + "hide_name": 1, + "bits": [ 4519 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.g1": { + "hide_name": 1, + "bits": [ 4520 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.g2": { + "hide_name": 1, + "bits": [ 4521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.g3": { + "hide_name": 1, + "bits": [ 4522 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.h0": { + "hide_name": 1, + "bits": [ 4524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39887.h1": { + "hide_name": 1, + "bits": [ 4525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39888.f0": { + "hide_name": 1, + "bits": [ 4528 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39888.f1": { + "hide_name": 1, + "bits": [ 4529 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39889.f0": { + "hide_name": 1, + "bits": [ 4531 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39889.f1": { + "hide_name": 1, + "bits": [ 4532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39890.f0": { + "hide_name": 1, + "bits": [ 4534 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39890.f1": { + "hide_name": 1, + "bits": [ 4535 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39891.f0": { + "hide_name": 1, + "bits": [ 4537 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39891.f1": { + "hide_name": 1, + "bits": [ 4538 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39892.f0": { + "hide_name": 1, + "bits": [ 4540 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39892.f1": { + "hide_name": 1, + "bits": [ 4541 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39893.f0": { + "hide_name": 1, + "bits": [ 4544 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39893.f1": { + "hide_name": 1, + "bits": [ 4545 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.f0": { + "hide_name": 1, + "bits": [ 4548 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.f1": { + "hide_name": 1, + "bits": [ 4549 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.f2": { + "hide_name": 1, + "bits": [ 4550 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.f3": { + "hide_name": 1, + "bits": [ 4551 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.f4": { + "hide_name": 1, + "bits": [ 4552 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.f5": { + "hide_name": 1, + "bits": [ 4553 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.f6": { + "hide_name": 1, + "bits": [ 4554 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.f7": { + "hide_name": 1, + "bits": [ 4555 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.g0": { + "hide_name": 1, + "bits": [ 4557 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.g1": { + "hide_name": 1, + "bits": [ 4558 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.g2": { + "hide_name": 1, + "bits": [ 4559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.g3": { + "hide_name": 1, + "bits": [ 4560 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.h0": { + "hide_name": 1, + "bits": [ 4561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39895.h1": { + "hide_name": 1, + "bits": [ 4562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.f0": { + "hide_name": 1, + "bits": [ 4567 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.f1": { + "hide_name": 1, + "bits": [ 4568 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.f2": { + "hide_name": 1, + "bits": [ 4569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.f3": { + "hide_name": 1, + "bits": [ 4570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.f4": { + "hide_name": 1, + "bits": [ 4571 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.f5": { + "hide_name": 1, + "bits": [ 4572 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.f6": { + "hide_name": 1, + "bits": [ 4573 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.f7": { + "hide_name": 1, + "bits": [ 4574 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.g0": { + "hide_name": 1, + "bits": [ 4575 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.g1": { + "hide_name": 1, + "bits": [ 4576 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.g2": { + "hide_name": 1, + "bits": [ 4577 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.g3": { + "hide_name": 1, + "bits": [ 4578 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.h0": { + "hide_name": 1, + "bits": [ 4580 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39897.h1": { + "hide_name": 1, + "bits": [ 4581 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.f0": { + "hide_name": 1, + "bits": [ 4585 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.f1": { + "hide_name": 1, + "bits": [ 4586 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.f2": { + "hide_name": 1, + "bits": [ 4587 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.f3": { + "hide_name": 1, + "bits": [ 4588 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.f4": { + "hide_name": 1, + "bits": [ 4589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.f5": { + "hide_name": 1, + "bits": [ 4590 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.f6": { + "hide_name": 1, + "bits": [ 4591 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.f7": { + "hide_name": 1, + "bits": [ 4592 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.g0": { + "hide_name": 1, + "bits": [ 4594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.g1": { + "hide_name": 1, + "bits": [ 4595 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.g2": { + "hide_name": 1, + "bits": [ 4596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.g3": { + "hide_name": 1, + "bits": [ 4597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.h0": { + "hide_name": 1, + "bits": [ 4598 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39898.h1": { + "hide_name": 1, + "bits": [ 4599 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39900.f0": { + "hide_name": 1, + "bits": [ 4601 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39900.f1": { + "hide_name": 1, + "bits": [ 4602 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39901.f0": { + "hide_name": 1, + "bits": [ 4605 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39901.f1": { + "hide_name": 1, + "bits": [ 4606 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39903.f0": { + "hide_name": 1, + "bits": [ 4609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39903.f1": { + "hide_name": 1, + "bits": [ 4610 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39905.f0": { + "hide_name": 1, + "bits": [ 4612 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39905.f1": { + "hide_name": 1, + "bits": [ 4613 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39906.f0": { + "hide_name": 1, + "bits": [ 4616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39906.f1": { + "hide_name": 1, + "bits": [ 4617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39908.f0": { + "hide_name": 1, + "bits": [ 4620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39908.f1": { + "hide_name": 1, + "bits": [ 4621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.f0": { + "hide_name": 1, + "bits": [ 4623 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.f1": { + "hide_name": 1, + "bits": [ 4624 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.f2": { + "hide_name": 1, + "bits": [ 4625 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.f3": { + "hide_name": 1, + "bits": [ 4626 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.f4": { + "hide_name": 1, + "bits": [ 4627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.f5": { + "hide_name": 1, + "bits": [ 4628 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.f6": { + "hide_name": 1, + "bits": [ 4629 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.f7": { + "hide_name": 1, + "bits": [ 4630 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.g0": { + "hide_name": 1, + "bits": [ 4631 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.g1": { + "hide_name": 1, + "bits": [ 4632 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.g2": { + "hide_name": 1, + "bits": [ 4633 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.g3": { + "hide_name": 1, + "bits": [ 4634 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.h0": { + "hide_name": 1, + "bits": [ 4635 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39910.h1": { + "hide_name": 1, + "bits": [ 4636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.f0": { + "hide_name": 1, + "bits": [ 4638 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.f1": { + "hide_name": 1, + "bits": [ 4639 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.f2": { + "hide_name": 1, + "bits": [ 4640 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.f3": { + "hide_name": 1, + "bits": [ 4641 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.f4": { + "hide_name": 1, + "bits": [ 4642 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.f5": { + "hide_name": 1, + "bits": [ 4643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.f6": { + "hide_name": 1, + "bits": [ 4644 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.f7": { + "hide_name": 1, + "bits": [ 4645 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.g0": { + "hide_name": 1, + "bits": [ 4646 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.g1": { + "hide_name": 1, + "bits": [ 4647 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.g2": { + "hide_name": 1, + "bits": [ 4648 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.g3": { + "hide_name": 1, + "bits": [ 4649 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.h0": { + "hide_name": 1, + "bits": [ 4650 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39911.h1": { + "hide_name": 1, + "bits": [ 4651 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.f0": { + "hide_name": 1, + "bits": [ 4654 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.f1": { + "hide_name": 1, + "bits": [ 4655 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.f2": { + "hide_name": 1, + "bits": [ 4656 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.f3": { + "hide_name": 1, + "bits": [ 4657 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.f4": { + "hide_name": 1, + "bits": [ 4658 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.f5": { + "hide_name": 1, + "bits": [ 4659 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.f6": { + "hide_name": 1, + "bits": [ 4660 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.f7": { + "hide_name": 1, + "bits": [ 4661 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.g0": { + "hide_name": 1, + "bits": [ 4663 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.g1": { + "hide_name": 1, + "bits": [ 4664 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.g2": { + "hide_name": 1, + "bits": [ 4665 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.g3": { + "hide_name": 1, + "bits": [ 4666 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.h0": { + "hide_name": 1, + "bits": [ 4667 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39912.h1": { + "hide_name": 1, + "bits": [ 4668 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.f0": { + "hide_name": 1, + "bits": [ 4671 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.f1": { + "hide_name": 1, + "bits": [ 4672 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.f2": { + "hide_name": 1, + "bits": [ 4673 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.f3": { + "hide_name": 1, + "bits": [ 4674 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.f4": { + "hide_name": 1, + "bits": [ 4675 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.f5": { + "hide_name": 1, + "bits": [ 4676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.f6": { + "hide_name": 1, + "bits": [ 4677 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.f7": { + "hide_name": 1, + "bits": [ 4678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.g0": { + "hide_name": 1, + "bits": [ 4679 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.g1": { + "hide_name": 1, + "bits": [ 4680 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.g2": { + "hide_name": 1, + "bits": [ 4681 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.g3": { + "hide_name": 1, + "bits": [ 4682 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.h0": { + "hide_name": 1, + "bits": [ 4683 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39913.h1": { + "hide_name": 1, + "bits": [ 4684 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.f0": { + "hide_name": 1, + "bits": [ 4686 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.f1": { + "hide_name": 1, + "bits": [ 4687 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.f2": { + "hide_name": 1, + "bits": [ 4688 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.f3": { + "hide_name": 1, + "bits": [ 4689 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.f4": { + "hide_name": 1, + "bits": [ 4690 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.f5": { + "hide_name": 1, + "bits": [ 4691 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.f6": { + "hide_name": 1, + "bits": [ 4692 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.f7": { + "hide_name": 1, + "bits": [ 4693 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.g0": { + "hide_name": 1, + "bits": [ 4694 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.g1": { + "hide_name": 1, + "bits": [ 4695 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.g2": { + "hide_name": 1, + "bits": [ 4696 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.g3": { + "hide_name": 1, + "bits": [ 4697 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.h0": { + "hide_name": 1, + "bits": [ 4698 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39914.h1": { + "hide_name": 1, + "bits": [ 4699 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.f0": { + "hide_name": 1, + "bits": [ 4702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.f1": { + "hide_name": 1, + "bits": [ 4703 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.f2": { + "hide_name": 1, + "bits": [ 4704 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.f3": { + "hide_name": 1, + "bits": [ 4705 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.f4": { + "hide_name": 1, + "bits": [ 4706 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.f5": { + "hide_name": 1, + "bits": [ 4707 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.f6": { + "hide_name": 1, + "bits": [ 4708 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.f7": { + "hide_name": 1, + "bits": [ 4709 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.g0": { + "hide_name": 1, + "bits": [ 4711 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.g1": { + "hide_name": 1, + "bits": [ 4712 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.g2": { + "hide_name": 1, + "bits": [ 4713 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.g3": { + "hide_name": 1, + "bits": [ 4714 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.h0": { + "hide_name": 1, + "bits": [ 4716 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39915.h1": { + "hide_name": 1, + "bits": [ 4717 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.f0": { + "hide_name": 1, + "bits": [ 4719 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.f1": { + "hide_name": 1, + "bits": [ 4720 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.f2": { + "hide_name": 1, + "bits": [ 4721 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.f3": { + "hide_name": 1, + "bits": [ 4722 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.f4": { + "hide_name": 1, + "bits": [ 4723 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.f5": { + "hide_name": 1, + "bits": [ 4724 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.f6": { + "hide_name": 1, + "bits": [ 4725 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.f7": { + "hide_name": 1, + "bits": [ 4726 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.g0": { + "hide_name": 1, + "bits": [ 4727 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.g1": { + "hide_name": 1, + "bits": [ 4728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.g2": { + "hide_name": 1, + "bits": [ 4729 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.g3": { + "hide_name": 1, + "bits": [ 4730 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.h0": { + "hide_name": 1, + "bits": [ 4731 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39916.h1": { + "hide_name": 1, + "bits": [ 4732 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.f0": { + "hide_name": 1, + "bits": [ 4734 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.f1": { + "hide_name": 1, + "bits": [ 4735 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.f2": { + "hide_name": 1, + "bits": [ 4736 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.f3": { + "hide_name": 1, + "bits": [ 4737 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.f4": { + "hide_name": 1, + "bits": [ 4738 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.f5": { + "hide_name": 1, + "bits": [ 4739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.f6": { + "hide_name": 1, + "bits": [ 4740 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.f7": { + "hide_name": 1, + "bits": [ 4741 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.g0": { + "hide_name": 1, + "bits": [ 4742 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.g1": { + "hide_name": 1, + "bits": [ 4743 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.g2": { + "hide_name": 1, + "bits": [ 4744 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.g3": { + "hide_name": 1, + "bits": [ 4745 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.h0": { + "hide_name": 1, + "bits": [ 4747 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39917.h1": { + "hide_name": 1, + "bits": [ 4748 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.f0": { + "hide_name": 1, + "bits": [ 4752 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.f1": { + "hide_name": 1, + "bits": [ 4753 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.f2": { + "hide_name": 1, + "bits": [ 4754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.f3": { + "hide_name": 1, + "bits": [ 4755 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.f4": { + "hide_name": 1, + "bits": [ 4756 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.f5": { + "hide_name": 1, + "bits": [ 4757 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.f6": { + "hide_name": 1, + "bits": [ 4758 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.f7": { + "hide_name": 1, + "bits": [ 4759 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.g0": { + "hide_name": 1, + "bits": [ 4760 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.g1": { + "hide_name": 1, + "bits": [ 4761 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.g2": { + "hide_name": 1, + "bits": [ 4762 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.g3": { + "hide_name": 1, + "bits": [ 4763 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.h0": { + "hide_name": 1, + "bits": [ 4765 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39920.h1": { + "hide_name": 1, + "bits": [ 4766 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.f0": { + "hide_name": 1, + "bits": [ 4768 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.f1": { + "hide_name": 1, + "bits": [ 4769 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.f2": { + "hide_name": 1, + "bits": [ 4770 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.f3": { + "hide_name": 1, + "bits": [ 4771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.f4": { + "hide_name": 1, + "bits": [ 4772 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.f5": { + "hide_name": 1, + "bits": [ 4773 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.f6": { + "hide_name": 1, + "bits": [ 4774 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.f7": { + "hide_name": 1, + "bits": [ 4775 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.g0": { + "hide_name": 1, + "bits": [ 4776 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.g1": { + "hide_name": 1, + "bits": [ 4777 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.g2": { + "hide_name": 1, + "bits": [ 4778 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.g3": { + "hide_name": 1, + "bits": [ 4779 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.h0": { + "hide_name": 1, + "bits": [ 4780 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39921.h1": { + "hide_name": 1, + "bits": [ 4781 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.f0": { + "hide_name": 1, + "bits": [ 4783 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.f1": { + "hide_name": 1, + "bits": [ 4784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.f2": { + "hide_name": 1, + "bits": [ 4785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.f3": { + "hide_name": 1, + "bits": [ 4786 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.f4": { + "hide_name": 1, + "bits": [ 4787 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.f5": { + "hide_name": 1, + "bits": [ 4788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.f6": { + "hide_name": 1, + "bits": [ 4789 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.f7": { + "hide_name": 1, + "bits": [ 4790 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.g0": { + "hide_name": 1, + "bits": [ 4791 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.g1": { + "hide_name": 1, + "bits": [ 4792 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.g2": { + "hide_name": 1, + "bits": [ 4793 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.g3": { + "hide_name": 1, + "bits": [ 4794 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.h0": { + "hide_name": 1, + "bits": [ 4795 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39922.h1": { + "hide_name": 1, + "bits": [ 4796 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.f0": { + "hide_name": 1, + "bits": [ 4803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.f1": { + "hide_name": 1, + "bits": [ 4804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.f2": { + "hide_name": 1, + "bits": [ 4805 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.f3": { + "hide_name": 1, + "bits": [ 4806 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.f4": { + "hide_name": 1, + "bits": [ 4807 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.f5": { + "hide_name": 1, + "bits": [ 4808 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.f6": { + "hide_name": 1, + "bits": [ 4809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.f7": { + "hide_name": 1, + "bits": [ 4810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.g0": { + "hide_name": 1, + "bits": [ 4812 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.g1": { + "hide_name": 1, + "bits": [ 4813 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.g2": { + "hide_name": 1, + "bits": [ 4814 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.g3": { + "hide_name": 1, + "bits": [ 4815 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.h0": { + "hide_name": 1, + "bits": [ 4817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39924.h1": { + "hide_name": 1, + "bits": [ 4818 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.f0": { + "hide_name": 1, + "bits": [ 4820 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.f1": { + "hide_name": 1, + "bits": [ 4821 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.f2": { + "hide_name": 1, + "bits": [ 4822 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.f3": { + "hide_name": 1, + "bits": [ 4823 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.f4": { + "hide_name": 1, + "bits": [ 4824 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.f5": { + "hide_name": 1, + "bits": [ 4825 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.f6": { + "hide_name": 1, + "bits": [ 4826 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.f7": { + "hide_name": 1, + "bits": [ 4827 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.g0": { + "hide_name": 1, + "bits": [ 4828 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.g1": { + "hide_name": 1, + "bits": [ 4829 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.g2": { + "hide_name": 1, + "bits": [ 4830 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.g3": { + "hide_name": 1, + "bits": [ 4831 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.h0": { + "hide_name": 1, + "bits": [ 4833 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39925.h1": { + "hide_name": 1, + "bits": [ 4834 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.f0": { + "hide_name": 1, + "bits": [ 4836 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.f1": { + "hide_name": 1, + "bits": [ 4837 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.f2": { + "hide_name": 1, + "bits": [ 4838 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.f3": { + "hide_name": 1, + "bits": [ 4839 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.f4": { + "hide_name": 1, + "bits": [ 4840 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.f5": { + "hide_name": 1, + "bits": [ 4841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.f6": { + "hide_name": 1, + "bits": [ 4842 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.f7": { + "hide_name": 1, + "bits": [ 4843 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.g0": { + "hide_name": 1, + "bits": [ 4844 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.g1": { + "hide_name": 1, + "bits": [ 4845 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.g2": { + "hide_name": 1, + "bits": [ 4846 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.g3": { + "hide_name": 1, + "bits": [ 4847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.h0": { + "hide_name": 1, + "bits": [ 4849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39927.h1": { + "hide_name": 1, + "bits": [ 4850 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.f0": { + "hide_name": 1, + "bits": [ 4853 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.f1": { + "hide_name": 1, + "bits": [ 4854 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.f2": { + "hide_name": 1, + "bits": [ 4855 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.f3": { + "hide_name": 1, + "bits": [ 4856 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.f4": { + "hide_name": 1, + "bits": [ 4857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.f5": { + "hide_name": 1, + "bits": [ 4858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.f6": { + "hide_name": 1, + "bits": [ 4859 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.f7": { + "hide_name": 1, + "bits": [ 4860 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.g0": { + "hide_name": 1, + "bits": [ 4862 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.g1": { + "hide_name": 1, + "bits": [ 4863 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.g2": { + "hide_name": 1, + "bits": [ 4864 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.g3": { + "hide_name": 1, + "bits": [ 4865 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.h0": { + "hide_name": 1, + "bits": [ 4866 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39929.h1": { + "hide_name": 1, + "bits": [ 4867 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.f0": { + "hide_name": 1, + "bits": [ 4869 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.f1": { + "hide_name": 1, + "bits": [ 4870 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.f2": { + "hide_name": 1, + "bits": [ 4871 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.f3": { + "hide_name": 1, + "bits": [ 4872 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.f4": { + "hide_name": 1, + "bits": [ 4873 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.f5": { + "hide_name": 1, + "bits": [ 4874 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.f6": { + "hide_name": 1, + "bits": [ 4875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.f7": { + "hide_name": 1, + "bits": [ 4876 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.g0": { + "hide_name": 1, + "bits": [ 4877 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.g1": { + "hide_name": 1, + "bits": [ 4878 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.g2": { + "hide_name": 1, + "bits": [ 4879 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.g3": { + "hide_name": 1, + "bits": [ 4880 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.h0": { + "hide_name": 1, + "bits": [ 4881 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39930.h1": { + "hide_name": 1, + "bits": [ 4882 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.f0": { + "hide_name": 1, + "bits": [ 4884 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.f1": { + "hide_name": 1, + "bits": [ 4885 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.f2": { + "hide_name": 1, + "bits": [ 4886 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.f3": { + "hide_name": 1, + "bits": [ 4887 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.f4": { + "hide_name": 1, + "bits": [ 4888 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.f5": { + "hide_name": 1, + "bits": [ 4889 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.f6": { + "hide_name": 1, + "bits": [ 4890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.f7": { + "hide_name": 1, + "bits": [ 4891 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.g0": { + "hide_name": 1, + "bits": [ 4892 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.g1": { + "hide_name": 1, + "bits": [ 4893 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.g2": { + "hide_name": 1, + "bits": [ 4894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.g3": { + "hide_name": 1, + "bits": [ 4895 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.h0": { + "hide_name": 1, + "bits": [ 4896 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39931.h1": { + "hide_name": 1, + "bits": [ 4897 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.f0": { + "hide_name": 1, + "bits": [ 4901 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.f1": { + "hide_name": 1, + "bits": [ 4902 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.f2": { + "hide_name": 1, + "bits": [ 4903 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.f3": { + "hide_name": 1, + "bits": [ 4904 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.f4": { + "hide_name": 1, + "bits": [ 4905 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.f5": { + "hide_name": 1, + "bits": [ 4906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.f6": { + "hide_name": 1, + "bits": [ 4907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.f7": { + "hide_name": 1, + "bits": [ 4908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.g0": { + "hide_name": 1, + "bits": [ 4910 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.g1": { + "hide_name": 1, + "bits": [ 4911 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.g2": { + "hide_name": 1, + "bits": [ 4912 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.g3": { + "hide_name": 1, + "bits": [ 4913 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.h0": { + "hide_name": 1, + "bits": [ 4915 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39932.h1": { + "hide_name": 1, + "bits": [ 4916 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.f0": { + "hide_name": 1, + "bits": [ 4918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.f1": { + "hide_name": 1, + "bits": [ 4919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.f2": { + "hide_name": 1, + "bits": [ 4920 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.f3": { + "hide_name": 1, + "bits": [ 4921 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.f4": { + "hide_name": 1, + "bits": [ 4922 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.f5": { + "hide_name": 1, + "bits": [ 4923 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.f6": { + "hide_name": 1, + "bits": [ 4924 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.f7": { + "hide_name": 1, + "bits": [ 4925 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.g0": { + "hide_name": 1, + "bits": [ 4926 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.g1": { + "hide_name": 1, + "bits": [ 4927 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.g2": { + "hide_name": 1, + "bits": [ 4928 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.g3": { + "hide_name": 1, + "bits": [ 4929 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.h0": { + "hide_name": 1, + "bits": [ 4931 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39933.h1": { + "hide_name": 1, + "bits": [ 4932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.f0": { + "hide_name": 1, + "bits": [ 4934 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.f1": { + "hide_name": 1, + "bits": [ 4935 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.f2": { + "hide_name": 1, + "bits": [ 4936 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.f3": { + "hide_name": 1, + "bits": [ 4937 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.f4": { + "hide_name": 1, + "bits": [ 4938 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.f5": { + "hide_name": 1, + "bits": [ 4939 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.f6": { + "hide_name": 1, + "bits": [ 4940 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.f7": { + "hide_name": 1, + "bits": [ 4941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.g0": { + "hide_name": 1, + "bits": [ 4942 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.g1": { + "hide_name": 1, + "bits": [ 4943 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.g2": { + "hide_name": 1, + "bits": [ 4944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.g3": { + "hide_name": 1, + "bits": [ 4945 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.h0": { + "hide_name": 1, + "bits": [ 4947 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39935.h1": { + "hide_name": 1, + "bits": [ 4948 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.f0": { + "hide_name": 1, + "bits": [ 4952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.f1": { + "hide_name": 1, + "bits": [ 4953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.f2": { + "hide_name": 1, + "bits": [ 4954 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.f3": { + "hide_name": 1, + "bits": [ 4955 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.f4": { + "hide_name": 1, + "bits": [ 4956 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.f5": { + "hide_name": 1, + "bits": [ 4957 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.f6": { + "hide_name": 1, + "bits": [ 4958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.f7": { + "hide_name": 1, + "bits": [ 4959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.g0": { + "hide_name": 1, + "bits": [ 4961 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.g1": { + "hide_name": 1, + "bits": [ 4962 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.g2": { + "hide_name": 1, + "bits": [ 4963 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.g3": { + "hide_name": 1, + "bits": [ 4964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.h0": { + "hide_name": 1, + "bits": [ 4966 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39938.h1": { + "hide_name": 1, + "bits": [ 4967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.f0": { + "hide_name": 1, + "bits": [ 4969 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.f1": { + "hide_name": 1, + "bits": [ 4970 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.f2": { + "hide_name": 1, + "bits": [ 4971 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.f3": { + "hide_name": 1, + "bits": [ 4972 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.f4": { + "hide_name": 1, + "bits": [ 4973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.f5": { + "hide_name": 1, + "bits": [ 4974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.f6": { + "hide_name": 1, + "bits": [ 4975 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.f7": { + "hide_name": 1, + "bits": [ 4976 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.g0": { + "hide_name": 1, + "bits": [ 4977 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.g1": { + "hide_name": 1, + "bits": [ 4978 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.g2": { + "hide_name": 1, + "bits": [ 4979 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.g3": { + "hide_name": 1, + "bits": [ 4980 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.h0": { + "hide_name": 1, + "bits": [ 4982 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39939.h1": { + "hide_name": 1, + "bits": [ 4983 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.f0": { + "hide_name": 1, + "bits": [ 4985 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.f1": { + "hide_name": 1, + "bits": [ 4986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.f2": { + "hide_name": 1, + "bits": [ 4987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.f3": { + "hide_name": 1, + "bits": [ 4988 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.f4": { + "hide_name": 1, + "bits": [ 4989 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.f5": { + "hide_name": 1, + "bits": [ 4990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.f6": { + "hide_name": 1, + "bits": [ 4991 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.f7": { + "hide_name": 1, + "bits": [ 4992 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.g0": { + "hide_name": 1, + "bits": [ 4993 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.g1": { + "hide_name": 1, + "bits": [ 4994 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.g2": { + "hide_name": 1, + "bits": [ 4995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.g3": { + "hide_name": 1, + "bits": [ 4996 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.h0": { + "hide_name": 1, + "bits": [ 4997 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39941.h1": { + "hide_name": 1, + "bits": [ 4998 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39943.f0": { + "hide_name": 1, + "bits": [ 5001 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39943.f1": { + "hide_name": 1, + "bits": [ 5002 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39945.f0": { + "hide_name": 1, + "bits": [ 5005 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39945.f1": { + "hide_name": 1, + "bits": [ 5006 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39947.f0": { + "hide_name": 1, + "bits": [ 5009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39947.f1": { + "hide_name": 1, + "bits": [ 5010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39949.f0": { + "hide_name": 1, + "bits": [ 5012 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39949.f1": { + "hide_name": 1, + "bits": [ 5013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39950.f0": { + "hide_name": 1, + "bits": [ 5015 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39950.f1": { + "hide_name": 1, + "bits": [ 5016 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39951.f0": { + "hide_name": 1, + "bits": [ 5019 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39951.f1": { + "hide_name": 1, + "bits": [ 5020 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.f0": { + "hide_name": 1, + "bits": [ 5025 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.f1": { + "hide_name": 1, + "bits": [ 5026 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.f2": { + "hide_name": 1, + "bits": [ 5027 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.f3": { + "hide_name": 1, + "bits": [ 5028 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.f4": { + "hide_name": 1, + "bits": [ 5029 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.f5": { + "hide_name": 1, + "bits": [ 5030 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.f6": { + "hide_name": 1, + "bits": [ 5031 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.f7": { + "hide_name": 1, + "bits": [ 5032 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.g0": { + "hide_name": 1, + "bits": [ 5034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.g1": { + "hide_name": 1, + "bits": [ 5035 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.g2": { + "hide_name": 1, + "bits": [ 5036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.g3": { + "hide_name": 1, + "bits": [ 5037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.h0": { + "hide_name": 1, + "bits": [ 5039 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39953.h1": { + "hide_name": 1, + "bits": [ 5040 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39954.f0": { + "hide_name": 1, + "bits": [ 5044 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39954.f1": { + "hide_name": 1, + "bits": [ 5045 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39956.f0": { + "hide_name": 1, + "bits": [ 5047 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39956.f1": { + "hide_name": 1, + "bits": [ 5048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.f0": { + "hide_name": 1, + "bits": [ 5051 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.f1": { + "hide_name": 1, + "bits": [ 5052 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.f2": { + "hide_name": 1, + "bits": [ 5053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.f3": { + "hide_name": 1, + "bits": [ 5054 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.f4": { + "hide_name": 1, + "bits": [ 5055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.f5": { + "hide_name": 1, + "bits": [ 5056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.f6": { + "hide_name": 1, + "bits": [ 5057 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.f7": { + "hide_name": 1, + "bits": [ 5058 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.g0": { + "hide_name": 1, + "bits": [ 5059 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.g1": { + "hide_name": 1, + "bits": [ 5060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.g2": { + "hide_name": 1, + "bits": [ 5061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.g3": { + "hide_name": 1, + "bits": [ 5062 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.h0": { + "hide_name": 1, + "bits": [ 5063 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39957.h1": { + "hide_name": 1, + "bits": [ 5064 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39958.f0": { + "hide_name": 1, + "bits": [ 5067 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39958.f1": { + "hide_name": 1, + "bits": [ 5068 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39960.f0": { + "hide_name": 1, + "bits": [ 5071 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39960.f1": { + "hide_name": 1, + "bits": [ 5072 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39962.f0": { + "hide_name": 1, + "bits": [ 5075 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39962.f1": { + "hide_name": 1, + "bits": [ 5076 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39964.f0": { + "hide_name": 1, + "bits": [ 5079 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39964.f1": { + "hide_name": 1, + "bits": [ 5080 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.f0": { + "hide_name": 1, + "bits": [ 5085 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.f1": { + "hide_name": 1, + "bits": [ 5086 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.f2": { + "hide_name": 1, + "bits": [ 5087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.f3": { + "hide_name": 1, + "bits": [ 5088 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.f4": { + "hide_name": 1, + "bits": [ 5089 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.f5": { + "hide_name": 1, + "bits": [ 5090 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.f6": { + "hide_name": 1, + "bits": [ 5091 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.f7": { + "hide_name": 1, + "bits": [ 5092 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.g0": { + "hide_name": 1, + "bits": [ 5094 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.g1": { + "hide_name": 1, + "bits": [ 5095 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.g2": { + "hide_name": 1, + "bits": [ 5096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.g3": { + "hide_name": 1, + "bits": [ 5097 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.h0": { + "hide_name": 1, + "bits": [ 5099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39966.h1": { + "hide_name": 1, + "bits": [ 5100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39967.f0": { + "hide_name": 1, + "bits": [ 5103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39967.f1": { + "hide_name": 1, + "bits": [ 5104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39968.f0": { + "hide_name": 1, + "bits": [ 5107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39968.f1": { + "hide_name": 1, + "bits": [ 5108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39970.f0": { + "hide_name": 1, + "bits": [ 5110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39970.f1": { + "hide_name": 1, + "bits": [ 5111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39971.f0": { + "hide_name": 1, + "bits": [ 5113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39971.f1": { + "hide_name": 1, + "bits": [ 5114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.f0": { + "hide_name": 1, + "bits": [ 5117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.f1": { + "hide_name": 1, + "bits": [ 5118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.f2": { + "hide_name": 1, + "bits": [ 5119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.f3": { + "hide_name": 1, + "bits": [ 5120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.f4": { + "hide_name": 1, + "bits": [ 5121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.f5": { + "hide_name": 1, + "bits": [ 5122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.f6": { + "hide_name": 1, + "bits": [ 5123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.f7": { + "hide_name": 1, + "bits": [ 5124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.g0": { + "hide_name": 1, + "bits": [ 5126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.g1": { + "hide_name": 1, + "bits": [ 5127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.g2": { + "hide_name": 1, + "bits": [ 5128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.g3": { + "hide_name": 1, + "bits": [ 5129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.h0": { + "hide_name": 1, + "bits": [ 5131 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39972.h1": { + "hide_name": 1, + "bits": [ 5132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39975.f0": { + "hide_name": 1, + "bits": [ 5134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39975.f1": { + "hide_name": 1, + "bits": [ 5135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39976.f0": { + "hide_name": 1, + "bits": [ 5138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39976.f1": { + "hide_name": 1, + "bits": [ 5139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.f0": { + "hide_name": 1, + "bits": [ 5142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.f1": { + "hide_name": 1, + "bits": [ 5143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.f2": { + "hide_name": 1, + "bits": [ 5144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.f3": { + "hide_name": 1, + "bits": [ 5145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.f4": { + "hide_name": 1, + "bits": [ 5146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.f5": { + "hide_name": 1, + "bits": [ 5147 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.f6": { + "hide_name": 1, + "bits": [ 5148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.f7": { + "hide_name": 1, + "bits": [ 5149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.g0": { + "hide_name": 1, + "bits": [ 5151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.g1": { + "hide_name": 1, + "bits": [ 5152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.g2": { + "hide_name": 1, + "bits": [ 5153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.g3": { + "hide_name": 1, + "bits": [ 5154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.h0": { + "hide_name": 1, + "bits": [ 5156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39978.h1": { + "hide_name": 1, + "bits": [ 5157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39979.f0": { + "hide_name": 1, + "bits": [ 5160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39979.f1": { + "hide_name": 1, + "bits": [ 5161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39980.f0": { + "hide_name": 1, + "bits": [ 5163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39980.f1": { + "hide_name": 1, + "bits": [ 5164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39981.f0": { + "hide_name": 1, + "bits": [ 5167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39981.f1": { + "hide_name": 1, + "bits": [ 5168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39983.f0": { + "hide_name": 1, + "bits": [ 5170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39983.f1": { + "hide_name": 1, + "bits": [ 5171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39984.f0": { + "hide_name": 1, + "bits": [ 5174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39984.f1": { + "hide_name": 1, + "bits": [ 5175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39986.f0": { + "hide_name": 1, + "bits": [ 5177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39986.f1": { + "hide_name": 1, + "bits": [ 5178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.f0": { + "hide_name": 1, + "bits": [ 5181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.f1": { + "hide_name": 1, + "bits": [ 5182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.f2": { + "hide_name": 1, + "bits": [ 5183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.f3": { + "hide_name": 1, + "bits": [ 5184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.f4": { + "hide_name": 1, + "bits": [ 5185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.f5": { + "hide_name": 1, + "bits": [ 5186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.f6": { + "hide_name": 1, + "bits": [ 5187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.f7": { + "hide_name": 1, + "bits": [ 5188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.g0": { + "hide_name": 1, + "bits": [ 5190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.g1": { + "hide_name": 1, + "bits": [ 5191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.g2": { + "hide_name": 1, + "bits": [ 5192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.g3": { + "hide_name": 1, + "bits": [ 5193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.h0": { + "hide_name": 1, + "bits": [ 5194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39987.h1": { + "hide_name": 1, + "bits": [ 5195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.f0": { + "hide_name": 1, + "bits": [ 5197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.f1": { + "hide_name": 1, + "bits": [ 5198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.f2": { + "hide_name": 1, + "bits": [ 5199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.f3": { + "hide_name": 1, + "bits": [ 5200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.f4": { + "hide_name": 1, + "bits": [ 5201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.f5": { + "hide_name": 1, + "bits": [ 5202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.f6": { + "hide_name": 1, + "bits": [ 5203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.f7": { + "hide_name": 1, + "bits": [ 5204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.g0": { + "hide_name": 1, + "bits": [ 5205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.g1": { + "hide_name": 1, + "bits": [ 5206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.g2": { + "hide_name": 1, + "bits": [ 5207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.g3": { + "hide_name": 1, + "bits": [ 5208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.h0": { + "hide_name": 1, + "bits": [ 5209 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39989.h1": { + "hide_name": 1, + "bits": [ 5210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.f0": { + "hide_name": 1, + "bits": [ 5212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.f1": { + "hide_name": 1, + "bits": [ 5213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.f2": { + "hide_name": 1, + "bits": [ 5214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.f3": { + "hide_name": 1, + "bits": [ 5215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.f4": { + "hide_name": 1, + "bits": [ 5216 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.f5": { + "hide_name": 1, + "bits": [ 5217 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.f6": { + "hide_name": 1, + "bits": [ 5218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.f7": { + "hide_name": 1, + "bits": [ 5219 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.g0": { + "hide_name": 1, + "bits": [ 5220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.g1": { + "hide_name": 1, + "bits": [ 5221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.g2": { + "hide_name": 1, + "bits": [ 5222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.g3": { + "hide_name": 1, + "bits": [ 5223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.h0": { + "hide_name": 1, + "bits": [ 5224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39990.h1": { + "hide_name": 1, + "bits": [ 5225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39991.f0": { + "hide_name": 1, + "bits": [ 5228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39991.f1": { + "hide_name": 1, + "bits": [ 5229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.f0": { + "hide_name": 1, + "bits": [ 5233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.f1": { + "hide_name": 1, + "bits": [ 5234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.f2": { + "hide_name": 1, + "bits": [ 5235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.f3": { + "hide_name": 1, + "bits": [ 5236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.f4": { + "hide_name": 1, + "bits": [ 5237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.f5": { + "hide_name": 1, + "bits": [ 5238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.f6": { + "hide_name": 1, + "bits": [ 5239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.f7": { + "hide_name": 1, + "bits": [ 5240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.g0": { + "hide_name": 1, + "bits": [ 5242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.g1": { + "hide_name": 1, + "bits": [ 5243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.g2": { + "hide_name": 1, + "bits": [ 5244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.g3": { + "hide_name": 1, + "bits": [ 5245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.h0": { + "hide_name": 1, + "bits": [ 5247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39992.h1": { + "hide_name": 1, + "bits": [ 5248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.f0": { + "hide_name": 1, + "bits": [ 5250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.f1": { + "hide_name": 1, + "bits": [ 5251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.f2": { + "hide_name": 1, + "bits": [ 5252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.f3": { + "hide_name": 1, + "bits": [ 5253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.f4": { + "hide_name": 1, + "bits": [ 5254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.f5": { + "hide_name": 1, + "bits": [ 5255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.f6": { + "hide_name": 1, + "bits": [ 5256 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.f7": { + "hide_name": 1, + "bits": [ 5257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.g0": { + "hide_name": 1, + "bits": [ 5258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.g1": { + "hide_name": 1, + "bits": [ 5259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.g2": { + "hide_name": 1, + "bits": [ 5260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.g3": { + "hide_name": 1, + "bits": [ 5261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.h0": { + "hide_name": 1, + "bits": [ 5262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39993.h1": { + "hide_name": 1, + "bits": [ 5263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.f0": { + "hide_name": 1, + "bits": [ 5265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.f1": { + "hide_name": 1, + "bits": [ 5266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.f2": { + "hide_name": 1, + "bits": [ 5267 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.f3": { + "hide_name": 1, + "bits": [ 5268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.f4": { + "hide_name": 1, + "bits": [ 5269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.f5": { + "hide_name": 1, + "bits": [ 5270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.f6": { + "hide_name": 1, + "bits": [ 5271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.f7": { + "hide_name": 1, + "bits": [ 5272 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.g0": { + "hide_name": 1, + "bits": [ 5273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.g1": { + "hide_name": 1, + "bits": [ 5274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.g2": { + "hide_name": 1, + "bits": [ 5275 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.g3": { + "hide_name": 1, + "bits": [ 5276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.h0": { + "hide_name": 1, + "bits": [ 5277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39994.h1": { + "hide_name": 1, + "bits": [ 5278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.f0": { + "hide_name": 1, + "bits": [ 5280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.f1": { + "hide_name": 1, + "bits": [ 5281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.f2": { + "hide_name": 1, + "bits": [ 5282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.f3": { + "hide_name": 1, + "bits": [ 5283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.f4": { + "hide_name": 1, + "bits": [ 5284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.f5": { + "hide_name": 1, + "bits": [ 5285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.f6": { + "hide_name": 1, + "bits": [ 5286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.f7": { + "hide_name": 1, + "bits": [ 5287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.g0": { + "hide_name": 1, + "bits": [ 5288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.g1": { + "hide_name": 1, + "bits": [ 5289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.g2": { + "hide_name": 1, + "bits": [ 5290 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.g3": { + "hide_name": 1, + "bits": [ 5291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.h0": { + "hide_name": 1, + "bits": [ 5292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39995.h1": { + "hide_name": 1, + "bits": [ 5293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.f0": { + "hide_name": 1, + "bits": [ 5295 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.f1": { + "hide_name": 1, + "bits": [ 5296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.f2": { + "hide_name": 1, + "bits": [ 5297 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.f3": { + "hide_name": 1, + "bits": [ 5298 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.f4": { + "hide_name": 1, + "bits": [ 5299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.f5": { + "hide_name": 1, + "bits": [ 5300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.f6": { + "hide_name": 1, + "bits": [ 5301 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.f7": { + "hide_name": 1, + "bits": [ 5302 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.g0": { + "hide_name": 1, + "bits": [ 5303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.g1": { + "hide_name": 1, + "bits": [ 5304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.g2": { + "hide_name": 1, + "bits": [ 5305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.g3": { + "hide_name": 1, + "bits": [ 5306 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.h0": { + "hide_name": 1, + "bits": [ 5307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39996.h1": { + "hide_name": 1, + "bits": [ 5308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.f0": { + "hide_name": 1, + "bits": [ 5312 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.f1": { + "hide_name": 1, + "bits": [ 5313 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.f2": { + "hide_name": 1, + "bits": [ 5314 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.f3": { + "hide_name": 1, + "bits": [ 5315 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.g0": { + "hide_name": 1, + "bits": [ 5316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39997.g1": { + "hide_name": 1, + "bits": [ 5317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.f0": { + "hide_name": 1, + "bits": [ 5320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.f1": { + "hide_name": 1, + "bits": [ 5321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.f2": { + "hide_name": 1, + "bits": [ 5322 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.f3": { + "hide_name": 1, + "bits": [ 5323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.f4": { + "hide_name": 1, + "bits": [ 5324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.f5": { + "hide_name": 1, + "bits": [ 5325 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.f6": { + "hide_name": 1, + "bits": [ 5326 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.f7": { + "hide_name": 1, + "bits": [ 5327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.g0": { + "hide_name": 1, + "bits": [ 5328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.g1": { + "hide_name": 1, + "bits": [ 5329 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.g2": { + "hide_name": 1, + "bits": [ 5330 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.g3": { + "hide_name": 1, + "bits": [ 5331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.h0": { + "hide_name": 1, + "bits": [ 5332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39998.h1": { + "hide_name": 1, + "bits": [ 5333 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.f0": { + "hide_name": 1, + "bits": [ 5335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.f1": { + "hide_name": 1, + "bits": [ 5336 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.f2": { + "hide_name": 1, + "bits": [ 5337 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.f3": { + "hide_name": 1, + "bits": [ 5338 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.f4": { + "hide_name": 1, + "bits": [ 5339 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.f5": { + "hide_name": 1, + "bits": [ 5340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.f6": { + "hide_name": 1, + "bits": [ 5341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.f7": { + "hide_name": 1, + "bits": [ 5342 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.g0": { + "hide_name": 1, + "bits": [ 5343 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.g1": { + "hide_name": 1, + "bits": [ 5344 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.g2": { + "hide_name": 1, + "bits": [ 5345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.g3": { + "hide_name": 1, + "bits": [ 5346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.h0": { + "hide_name": 1, + "bits": [ 5347 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$39999.h1": { + "hide_name": 1, + "bits": [ 5348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.f0": { + "hide_name": 1, + "bits": [ 5350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.f1": { + "hide_name": 1, + "bits": [ 5351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.f2": { + "hide_name": 1, + "bits": [ 5352 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.f3": { + "hide_name": 1, + "bits": [ 5353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.f4": { + "hide_name": 1, + "bits": [ 5354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.f5": { + "hide_name": 1, + "bits": [ 5355 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.f6": { + "hide_name": 1, + "bits": [ 5356 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.f7": { + "hide_name": 1, + "bits": [ 5357 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.g0": { + "hide_name": 1, + "bits": [ 5358 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.g1": { + "hide_name": 1, + "bits": [ 5359 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.g2": { + "hide_name": 1, + "bits": [ 5360 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.g3": { + "hide_name": 1, + "bits": [ 5361 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.h0": { + "hide_name": 1, + "bits": [ 5362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40000.h1": { + "hide_name": 1, + "bits": [ 5363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.f0": { + "hide_name": 1, + "bits": [ 5365 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.f1": { + "hide_name": 1, + "bits": [ 5366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.f2": { + "hide_name": 1, + "bits": [ 5367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.f3": { + "hide_name": 1, + "bits": [ 5368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.f4": { + "hide_name": 1, + "bits": [ 5369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.f5": { + "hide_name": 1, + "bits": [ 5370 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.f6": { + "hide_name": 1, + "bits": [ 5371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.f7": { + "hide_name": 1, + "bits": [ 5372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.g0": { + "hide_name": 1, + "bits": [ 5373 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.g1": { + "hide_name": 1, + "bits": [ 5374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.g2": { + "hide_name": 1, + "bits": [ 5375 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.g3": { + "hide_name": 1, + "bits": [ 5376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.h0": { + "hide_name": 1, + "bits": [ 5378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40001.h1": { + "hide_name": 1, + "bits": [ 5379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.f0": { + "hide_name": 1, + "bits": [ 5382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.f1": { + "hide_name": 1, + "bits": [ 5383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.f2": { + "hide_name": 1, + "bits": [ 5384 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.f3": { + "hide_name": 1, + "bits": [ 5385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.f4": { + "hide_name": 1, + "bits": [ 5386 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.f5": { + "hide_name": 1, + "bits": [ 5387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.f6": { + "hide_name": 1, + "bits": [ 5388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.f7": { + "hide_name": 1, + "bits": [ 5389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.g0": { + "hide_name": 1, + "bits": [ 5390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.g1": { + "hide_name": 1, + "bits": [ 5391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.g2": { + "hide_name": 1, + "bits": [ 5392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.g3": { + "hide_name": 1, + "bits": [ 5393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.h0": { + "hide_name": 1, + "bits": [ 5395 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40004.h1": { + "hide_name": 1, + "bits": [ 5396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.f0": { + "hide_name": 1, + "bits": [ 5398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.f1": { + "hide_name": 1, + "bits": [ 5399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.f2": { + "hide_name": 1, + "bits": [ 5400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.f3": { + "hide_name": 1, + "bits": [ 5401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.f4": { + "hide_name": 1, + "bits": [ 5402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.f5": { + "hide_name": 1, + "bits": [ 5403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.f6": { + "hide_name": 1, + "bits": [ 5404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.f7": { + "hide_name": 1, + "bits": [ 5405 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.g0": { + "hide_name": 1, + "bits": [ 5406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.g1": { + "hide_name": 1, + "bits": [ 5407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.g2": { + "hide_name": 1, + "bits": [ 5408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.g3": { + "hide_name": 1, + "bits": [ 5409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.h0": { + "hide_name": 1, + "bits": [ 5410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40006.h1": { + "hide_name": 1, + "bits": [ 5411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.f0": { + "hide_name": 1, + "bits": [ 5416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.f1": { + "hide_name": 1, + "bits": [ 5417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.f2": { + "hide_name": 1, + "bits": [ 5418 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.f3": { + "hide_name": 1, + "bits": [ 5419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.f4": { + "hide_name": 1, + "bits": [ 5420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.f5": { + "hide_name": 1, + "bits": [ 5421 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.f6": { + "hide_name": 1, + "bits": [ 5422 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.f7": { + "hide_name": 1, + "bits": [ 5423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.g0": { + "hide_name": 1, + "bits": [ 5425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.g1": { + "hide_name": 1, + "bits": [ 5426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.g2": { + "hide_name": 1, + "bits": [ 5427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.g3": { + "hide_name": 1, + "bits": [ 5428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.h0": { + "hide_name": 1, + "bits": [ 5430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40007.h1": { + "hide_name": 1, + "bits": [ 5431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.f0": { + "hide_name": 1, + "bits": [ 5434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.f1": { + "hide_name": 1, + "bits": [ 5435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.f2": { + "hide_name": 1, + "bits": [ 5436 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.f3": { + "hide_name": 1, + "bits": [ 5437 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.f4": { + "hide_name": 1, + "bits": [ 5438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.f5": { + "hide_name": 1, + "bits": [ 5439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.f6": { + "hide_name": 1, + "bits": [ 5440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.f7": { + "hide_name": 1, + "bits": [ 5441 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.g0": { + "hide_name": 1, + "bits": [ 5442 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.g1": { + "hide_name": 1, + "bits": [ 5443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.g2": { + "hide_name": 1, + "bits": [ 5444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.g3": { + "hide_name": 1, + "bits": [ 5445 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.h0": { + "hide_name": 1, + "bits": [ 5447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40008.h1": { + "hide_name": 1, + "bits": [ 5448 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.f0": { + "hide_name": 1, + "bits": [ 5450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.f1": { + "hide_name": 1, + "bits": [ 5451 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.f2": { + "hide_name": 1, + "bits": [ 5452 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.f3": { + "hide_name": 1, + "bits": [ 5453 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.f4": { + "hide_name": 1, + "bits": [ 5454 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.f5": { + "hide_name": 1, + "bits": [ 5455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.f6": { + "hide_name": 1, + "bits": [ 5456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.f7": { + "hide_name": 1, + "bits": [ 5457 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.g0": { + "hide_name": 1, + "bits": [ 5458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.g1": { + "hide_name": 1, + "bits": [ 5459 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.g2": { + "hide_name": 1, + "bits": [ 5460 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.g3": { + "hide_name": 1, + "bits": [ 5461 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.h0": { + "hide_name": 1, + "bits": [ 5462 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40010.h1": { + "hide_name": 1, + "bits": [ 5463 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.f0": { + "hide_name": 1, + "bits": [ 5465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.f1": { + "hide_name": 1, + "bits": [ 5466 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.f2": { + "hide_name": 1, + "bits": [ 5467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.f3": { + "hide_name": 1, + "bits": [ 5468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.f4": { + "hide_name": 1, + "bits": [ 5469 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.f5": { + "hide_name": 1, + "bits": [ 5470 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.f6": { + "hide_name": 1, + "bits": [ 5471 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.f7": { + "hide_name": 1, + "bits": [ 5472 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.g0": { + "hide_name": 1, + "bits": [ 5473 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.g1": { + "hide_name": 1, + "bits": [ 5474 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.g2": { + "hide_name": 1, + "bits": [ 5475 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.g3": { + "hide_name": 1, + "bits": [ 5476 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.h0": { + "hide_name": 1, + "bits": [ 5477 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40011.h1": { + "hide_name": 1, + "bits": [ 5478 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.f0": { + "hide_name": 1, + "bits": [ 5480 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.f1": { + "hide_name": 1, + "bits": [ 5481 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.f2": { + "hide_name": 1, + "bits": [ 5482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.f3": { + "hide_name": 1, + "bits": [ 5483 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.f4": { + "hide_name": 1, + "bits": [ 5484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.f5": { + "hide_name": 1, + "bits": [ 5485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.f6": { + "hide_name": 1, + "bits": [ 5486 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.f7": { + "hide_name": 1, + "bits": [ 5487 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.g0": { + "hide_name": 1, + "bits": [ 5488 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.g1": { + "hide_name": 1, + "bits": [ 5489 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.g2": { + "hide_name": 1, + "bits": [ 5490 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.g3": { + "hide_name": 1, + "bits": [ 5491 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.h0": { + "hide_name": 1, + "bits": [ 5493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40012.h1": { + "hide_name": 1, + "bits": [ 5494 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.f0": { + "hide_name": 1, + "bits": [ 5496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.f1": { + "hide_name": 1, + "bits": [ 5497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.f2": { + "hide_name": 1, + "bits": [ 5498 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.f3": { + "hide_name": 1, + "bits": [ 5499 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.f4": { + "hide_name": 1, + "bits": [ 5500 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.f5": { + "hide_name": 1, + "bits": [ 5501 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.f6": { + "hide_name": 1, + "bits": [ 5502 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.f7": { + "hide_name": 1, + "bits": [ 5503 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.g0": { + "hide_name": 1, + "bits": [ 5504 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.g1": { + "hide_name": 1, + "bits": [ 5505 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.g2": { + "hide_name": 1, + "bits": [ 5506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.g3": { + "hide_name": 1, + "bits": [ 5507 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.h0": { + "hide_name": 1, + "bits": [ 5509 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40014.h1": { + "hide_name": 1, + "bits": [ 5510 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.f0": { + "hide_name": 1, + "bits": [ 5512 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.f1": { + "hide_name": 1, + "bits": [ 5513 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.f2": { + "hide_name": 1, + "bits": [ 5514 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.f3": { + "hide_name": 1, + "bits": [ 5515 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.f4": { + "hide_name": 1, + "bits": [ 5516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.f5": { + "hide_name": 1, + "bits": [ 5517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.f6": { + "hide_name": 1, + "bits": [ 5518 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.f7": { + "hide_name": 1, + "bits": [ 5519 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.g0": { + "hide_name": 1, + "bits": [ 5520 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.g1": { + "hide_name": 1, + "bits": [ 5521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.g2": { + "hide_name": 1, + "bits": [ 5522 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.g3": { + "hide_name": 1, + "bits": [ 5523 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.h0": { + "hide_name": 1, + "bits": [ 5524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40016.h1": { + "hide_name": 1, + "bits": [ 5525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.f0": { + "hide_name": 1, + "bits": [ 5527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.f1": { + "hide_name": 1, + "bits": [ 5528 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.f2": { + "hide_name": 1, + "bits": [ 5529 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.f3": { + "hide_name": 1, + "bits": [ 5530 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.f4": { + "hide_name": 1, + "bits": [ 5531 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.f5": { + "hide_name": 1, + "bits": [ 5532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.f6": { + "hide_name": 1, + "bits": [ 5533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.f7": { + "hide_name": 1, + "bits": [ 5534 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.g0": { + "hide_name": 1, + "bits": [ 5535 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.g1": { + "hide_name": 1, + "bits": [ 5536 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.g2": { + "hide_name": 1, + "bits": [ 5537 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.g3": { + "hide_name": 1, + "bits": [ 5538 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.h0": { + "hide_name": 1, + "bits": [ 5539 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40017.h1": { + "hide_name": 1, + "bits": [ 5540 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40018.f0": { + "hide_name": 1, + "bits": [ 5544 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40018.f1": { + "hide_name": 1, + "bits": [ 5545 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.f0": { + "hide_name": 1, + "bits": [ 5550 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.f1": { + "hide_name": 1, + "bits": [ 5551 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.f2": { + "hide_name": 1, + "bits": [ 5552 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.f3": { + "hide_name": 1, + "bits": [ 5553 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.g0": { + "hide_name": 1, + "bits": [ 5555 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40020.g1": { + "hide_name": 1, + "bits": [ 5556 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.f0": { + "hide_name": 1, + "bits": [ 5559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.f1": { + "hide_name": 1, + "bits": [ 5560 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.f2": { + "hide_name": 1, + "bits": [ 5561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.f3": { + "hide_name": 1, + "bits": [ 5562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.f4": { + "hide_name": 1, + "bits": [ 5563 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.f5": { + "hide_name": 1, + "bits": [ 5564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.f6": { + "hide_name": 1, + "bits": [ 5565 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.f7": { + "hide_name": 1, + "bits": [ 5566 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.g0": { + "hide_name": 1, + "bits": [ 5567 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.g1": { + "hide_name": 1, + "bits": [ 5568 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.g2": { + "hide_name": 1, + "bits": [ 5569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.g3": { + "hide_name": 1, + "bits": [ 5570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.h0": { + "hide_name": 1, + "bits": [ 5571 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40021.h1": { + "hide_name": 1, + "bits": [ 5572 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.f0": { + "hide_name": 1, + "bits": [ 5574 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.f1": { + "hide_name": 1, + "bits": [ 5575 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.f2": { + "hide_name": 1, + "bits": [ 5576 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.f3": { + "hide_name": 1, + "bits": [ 5577 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.f4": { + "hide_name": 1, + "bits": [ 5578 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.f5": { + "hide_name": 1, + "bits": [ 5579 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.f6": { + "hide_name": 1, + "bits": [ 5580 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.f7": { + "hide_name": 1, + "bits": [ 5581 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.g0": { + "hide_name": 1, + "bits": [ 5582 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.g1": { + "hide_name": 1, + "bits": [ 5583 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.g2": { + "hide_name": 1, + "bits": [ 5584 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.g3": { + "hide_name": 1, + "bits": [ 5585 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.h0": { + "hide_name": 1, + "bits": [ 5587 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40022.h1": { + "hide_name": 1, + "bits": [ 5588 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.f0": { + "hide_name": 1, + "bits": [ 5590 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.f1": { + "hide_name": 1, + "bits": [ 5591 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.f2": { + "hide_name": 1, + "bits": [ 5592 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.f3": { + "hide_name": 1, + "bits": [ 5593 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.f4": { + "hide_name": 1, + "bits": [ 5594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.f5": { + "hide_name": 1, + "bits": [ 5595 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.f6": { + "hide_name": 1, + "bits": [ 5596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.f7": { + "hide_name": 1, + "bits": [ 5597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.g0": { + "hide_name": 1, + "bits": [ 5598 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.g1": { + "hide_name": 1, + "bits": [ 5599 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.g2": { + "hide_name": 1, + "bits": [ 5600 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.g3": { + "hide_name": 1, + "bits": [ 5601 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.h0": { + "hide_name": 1, + "bits": [ 5602 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40024.h1": { + "hide_name": 1, + "bits": [ 5603 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.f0": { + "hide_name": 1, + "bits": [ 5605 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.f1": { + "hide_name": 1, + "bits": [ 5606 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.f2": { + "hide_name": 1, + "bits": [ 5607 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.f3": { + "hide_name": 1, + "bits": [ 5608 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.f4": { + "hide_name": 1, + "bits": [ 5609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.f5": { + "hide_name": 1, + "bits": [ 5610 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.f6": { + "hide_name": 1, + "bits": [ 5611 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.f7": { + "hide_name": 1, + "bits": [ 5612 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.g0": { + "hide_name": 1, + "bits": [ 5613 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.g1": { + "hide_name": 1, + "bits": [ 5614 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.g2": { + "hide_name": 1, + "bits": [ 5615 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.g3": { + "hide_name": 1, + "bits": [ 5616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.h0": { + "hide_name": 1, + "bits": [ 5617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40025.h1": { + "hide_name": 1, + "bits": [ 5618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.f0": { + "hide_name": 1, + "bits": [ 5620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.f1": { + "hide_name": 1, + "bits": [ 5621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.f2": { + "hide_name": 1, + "bits": [ 5622 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.f3": { + "hide_name": 1, + "bits": [ 5623 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.f4": { + "hide_name": 1, + "bits": [ 5624 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.f5": { + "hide_name": 1, + "bits": [ 5625 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.f6": { + "hide_name": 1, + "bits": [ 5626 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.f7": { + "hide_name": 1, + "bits": [ 5627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.g0": { + "hide_name": 1, + "bits": [ 5628 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.g1": { + "hide_name": 1, + "bits": [ 5629 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.g2": { + "hide_name": 1, + "bits": [ 5630 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.g3": { + "hide_name": 1, + "bits": [ 5631 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.h0": { + "hide_name": 1, + "bits": [ 5632 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40026.h1": { + "hide_name": 1, + "bits": [ 5633 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.f0": { + "hide_name": 1, + "bits": [ 5635 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.f1": { + "hide_name": 1, + "bits": [ 5636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.f2": { + "hide_name": 1, + "bits": [ 5637 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.f3": { + "hide_name": 1, + "bits": [ 5638 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.f4": { + "hide_name": 1, + "bits": [ 5639 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.f5": { + "hide_name": 1, + "bits": [ 5640 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.f6": { + "hide_name": 1, + "bits": [ 5641 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.f7": { + "hide_name": 1, + "bits": [ 5642 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.g0": { + "hide_name": 1, + "bits": [ 5643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.g1": { + "hide_name": 1, + "bits": [ 5644 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.g2": { + "hide_name": 1, + "bits": [ 5645 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.g3": { + "hide_name": 1, + "bits": [ 5646 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.h0": { + "hide_name": 1, + "bits": [ 5648 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40027.h1": { + "hide_name": 1, + "bits": [ 5649 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.f0": { + "hide_name": 1, + "bits": [ 5658 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.f1": { + "hide_name": 1, + "bits": [ 5659 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.f2": { + "hide_name": 1, + "bits": [ 5660 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.f3": { + "hide_name": 1, + "bits": [ 5661 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.g0": { + "hide_name": 1, + "bits": [ 5662 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40034.g1": { + "hide_name": 1, + "bits": [ 5663 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.f0": { + "hide_name": 1, + "bits": [ 5666 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.f1": { + "hide_name": 1, + "bits": [ 5667 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.f2": { + "hide_name": 1, + "bits": [ 5668 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.f3": { + "hide_name": 1, + "bits": [ 5669 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.g0": { + "hide_name": 1, + "bits": [ 5670 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40036.g1": { + "hide_name": 1, + "bits": [ 5671 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.f0": { + "hide_name": 1, + "bits": [ 5676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.f1": { + "hide_name": 1, + "bits": [ 5677 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.f2": { + "hide_name": 1, + "bits": [ 5678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.f3": { + "hide_name": 1, + "bits": [ 5679 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.f4": { + "hide_name": 1, + "bits": [ 5680 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.f5": { + "hide_name": 1, + "bits": [ 5681 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.f6": { + "hide_name": 1, + "bits": [ 5682 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.f7": { + "hide_name": 1, + "bits": [ 5683 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.g0": { + "hide_name": 1, + "bits": [ 5685 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.g1": { + "hide_name": 1, + "bits": [ 5686 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.g2": { + "hide_name": 1, + "bits": [ 5687 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.g3": { + "hide_name": 1, + "bits": [ 5688 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.h0": { + "hide_name": 1, + "bits": [ 5690 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40037.h1": { + "hide_name": 1, + "bits": [ 5691 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.f0": { + "hide_name": 1, + "bits": [ 5705 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.f1": { + "hide_name": 1, + "bits": [ 5706 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.f2": { + "hide_name": 1, + "bits": [ 5707 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.f3": { + "hide_name": 1, + "bits": [ 5708 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.g0": { + "hide_name": 1, + "bits": [ 5710 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40047.g1": { + "hide_name": 1, + "bits": [ 5711 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.f0": { + "hide_name": 1, + "bits": [ 5716 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.f1": { + "hide_name": 1, + "bits": [ 5717 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.f2": { + "hide_name": 1, + "bits": [ 5718 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.f3": { + "hide_name": 1, + "bits": [ 5719 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.g0": { + "hide_name": 1, + "bits": [ 5720 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40048.g1": { + "hide_name": 1, + "bits": [ 5721 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.f0": { + "hide_name": 1, + "bits": [ 5724 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.f1": { + "hide_name": 1, + "bits": [ 5725 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.f2": { + "hide_name": 1, + "bits": [ 5726 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.f3": { + "hide_name": 1, + "bits": [ 5727 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.g0": { + "hide_name": 1, + "bits": [ 5728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40050.g1": { + "hide_name": 1, + "bits": [ 5729 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40055.f0": { + "hide_name": 1, + "bits": [ 5738 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40055.f1": { + "hide_name": 1, + "bits": [ 5739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.f0": { + "hide_name": 1, + "bits": [ 5745 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.f1": { + "hide_name": 1, + "bits": [ 5746 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.f2": { + "hide_name": 1, + "bits": [ 5747 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.f3": { + "hide_name": 1, + "bits": [ 5748 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.g0": { + "hide_name": 1, + "bits": [ 5749 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40058.g1": { + "hide_name": 1, + "bits": [ 5750 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.f0": { + "hide_name": 1, + "bits": [ 5754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.f1": { + "hide_name": 1, + "bits": [ 5755 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.f2": { + "hide_name": 1, + "bits": [ 5756 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.f3": { + "hide_name": 1, + "bits": [ 5757 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.g0": { + "hide_name": 1, + "bits": [ 5758 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40059.g1": { + "hide_name": 1, + "bits": [ 5759 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.f0": { + "hide_name": 1, + "bits": [ 5762 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.f1": { + "hide_name": 1, + "bits": [ 5763 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.f2": { + "hide_name": 1, + "bits": [ 5764 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.f3": { + "hide_name": 1, + "bits": [ 5765 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.g0": { + "hide_name": 1, + "bits": [ 5766 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40061.g1": { + "hide_name": 1, + "bits": [ 5767 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.f0": { + "hide_name": 1, + "bits": [ 5769 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.f1": { + "hide_name": 1, + "bits": [ 5770 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.f2": { + "hide_name": 1, + "bits": [ 5771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.f3": { + "hide_name": 1, + "bits": [ 5772 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.f4": { + "hide_name": 1, + "bits": [ 5773 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.f5": { + "hide_name": 1, + "bits": [ 5774 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.f6": { + "hide_name": 1, + "bits": [ 5775 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.f7": { + "hide_name": 1, + "bits": [ 5776 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.g0": { + "hide_name": 1, + "bits": [ 5777 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.g1": { + "hide_name": 1, + "bits": [ 5778 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.g2": { + "hide_name": 1, + "bits": [ 5779 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.g3": { + "hide_name": 1, + "bits": [ 5780 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.h0": { + "hide_name": 1, + "bits": [ 5781 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40062.h1": { + "hide_name": 1, + "bits": [ 5782 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.f0": { + "hide_name": 1, + "bits": [ 5784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.f1": { + "hide_name": 1, + "bits": [ 5785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.f2": { + "hide_name": 1, + "bits": [ 5786 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.f3": { + "hide_name": 1, + "bits": [ 5787 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.f4": { + "hide_name": 1, + "bits": [ 5788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.f5": { + "hide_name": 1, + "bits": [ 5789 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.f6": { + "hide_name": 1, + "bits": [ 5790 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.f7": { + "hide_name": 1, + "bits": [ 5791 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.g0": { + "hide_name": 1, + "bits": [ 5792 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.g1": { + "hide_name": 1, + "bits": [ 5793 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.g2": { + "hide_name": 1, + "bits": [ 5794 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.g3": { + "hide_name": 1, + "bits": [ 5795 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.h0": { + "hide_name": 1, + "bits": [ 5796 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40063.h1": { + "hide_name": 1, + "bits": [ 5797 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.f0": { + "hide_name": 1, + "bits": [ 5799 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.f1": { + "hide_name": 1, + "bits": [ 5800 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.f2": { + "hide_name": 1, + "bits": [ 5801 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.f3": { + "hide_name": 1, + "bits": [ 5802 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.f4": { + "hide_name": 1, + "bits": [ 5803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.f5": { + "hide_name": 1, + "bits": [ 5804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.f6": { + "hide_name": 1, + "bits": [ 5805 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.f7": { + "hide_name": 1, + "bits": [ 5806 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.g0": { + "hide_name": 1, + "bits": [ 5807 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.g1": { + "hide_name": 1, + "bits": [ 5808 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.g2": { + "hide_name": 1, + "bits": [ 5809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.g3": { + "hide_name": 1, + "bits": [ 5810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.h0": { + "hide_name": 1, + "bits": [ 5812 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40064.h1": { + "hide_name": 1, + "bits": [ 5813 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.f0": { + "hide_name": 1, + "bits": [ 5819 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.f1": { + "hide_name": 1, + "bits": [ 5820 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.f2": { + "hide_name": 1, + "bits": [ 5821 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.f3": { + "hide_name": 1, + "bits": [ 5822 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.f4": { + "hide_name": 1, + "bits": [ 5823 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.f5": { + "hide_name": 1, + "bits": [ 5824 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.f6": { + "hide_name": 1, + "bits": [ 5825 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.f7": { + "hide_name": 1, + "bits": [ 5826 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.g0": { + "hide_name": 1, + "bits": [ 5827 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.g1": { + "hide_name": 1, + "bits": [ 5828 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.g2": { + "hide_name": 1, + "bits": [ 5829 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.g3": { + "hide_name": 1, + "bits": [ 5830 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.h0": { + "hide_name": 1, + "bits": [ 5832 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40067.h1": { + "hide_name": 1, + "bits": [ 5833 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.f0": { + "hide_name": 1, + "bits": [ 5835 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.f1": { + "hide_name": 1, + "bits": [ 5836 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.f2": { + "hide_name": 1, + "bits": [ 5837 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.f3": { + "hide_name": 1, + "bits": [ 5838 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.f4": { + "hide_name": 1, + "bits": [ 5839 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.f5": { + "hide_name": 1, + "bits": [ 5840 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.f6": { + "hide_name": 1, + "bits": [ 5841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.f7": { + "hide_name": 1, + "bits": [ 5842 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.g0": { + "hide_name": 1, + "bits": [ 5843 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.g1": { + "hide_name": 1, + "bits": [ 5844 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.g2": { + "hide_name": 1, + "bits": [ 5845 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.g3": { + "hide_name": 1, + "bits": [ 5846 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.h0": { + "hide_name": 1, + "bits": [ 5848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40069.h1": { + "hide_name": 1, + "bits": [ 5849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.f0": { + "hide_name": 1, + "bits": [ 5851 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.f1": { + "hide_name": 1, + "bits": [ 5852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.f2": { + "hide_name": 1, + "bits": [ 5853 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.f3": { + "hide_name": 1, + "bits": [ 5854 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.f4": { + "hide_name": 1, + "bits": [ 5855 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.f5": { + "hide_name": 1, + "bits": [ 5856 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.f6": { + "hide_name": 1, + "bits": [ 5857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.f7": { + "hide_name": 1, + "bits": [ 5858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.g0": { + "hide_name": 1, + "bits": [ 5859 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.g1": { + "hide_name": 1, + "bits": [ 5860 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.g2": { + "hide_name": 1, + "bits": [ 5861 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.g3": { + "hide_name": 1, + "bits": [ 5862 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.h0": { + "hide_name": 1, + "bits": [ 5864 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40071.h1": { + "hide_name": 1, + "bits": [ 5865 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.f0": { + "hide_name": 1, + "bits": [ 5867 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.f1": { + "hide_name": 1, + "bits": [ 5868 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.f2": { + "hide_name": 1, + "bits": [ 5869 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.f3": { + "hide_name": 1, + "bits": [ 5870 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.f4": { + "hide_name": 1, + "bits": [ 5871 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.f5": { + "hide_name": 1, + "bits": [ 5872 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.f6": { + "hide_name": 1, + "bits": [ 5873 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.f7": { + "hide_name": 1, + "bits": [ 5874 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.g0": { + "hide_name": 1, + "bits": [ 5875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.g1": { + "hide_name": 1, + "bits": [ 5876 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.g2": { + "hide_name": 1, + "bits": [ 5877 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.g3": { + "hide_name": 1, + "bits": [ 5878 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.h0": { + "hide_name": 1, + "bits": [ 5880 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40073.h1": { + "hide_name": 1, + "bits": [ 5881 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40075.f0": { + "hide_name": 1, + "bits": [ 5886 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40075.f1": { + "hide_name": 1, + "bits": [ 5887 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.f0": { + "hide_name": 1, + "bits": [ 5890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.f1": { + "hide_name": 1, + "bits": [ 5891 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.f2": { + "hide_name": 1, + "bits": [ 5892 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.f3": { + "hide_name": 1, + "bits": [ 5893 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.f4": { + "hide_name": 1, + "bits": [ 5894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.f5": { + "hide_name": 1, + "bits": [ 5895 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.f6": { + "hide_name": 1, + "bits": [ 5896 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.f7": { + "hide_name": 1, + "bits": [ 5897 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.g0": { + "hide_name": 1, + "bits": [ 5898 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.g1": { + "hide_name": 1, + "bits": [ 5899 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.g2": { + "hide_name": 1, + "bits": [ 5900 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.g3": { + "hide_name": 1, + "bits": [ 5901 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.h0": { + "hide_name": 1, + "bits": [ 5903 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40076.h1": { + "hide_name": 1, + "bits": [ 5904 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.f0": { + "hide_name": 1, + "bits": [ 5906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.f1": { + "hide_name": 1, + "bits": [ 5907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.f2": { + "hide_name": 1, + "bits": [ 5908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.f3": { + "hide_name": 1, + "bits": [ 5909 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.f4": { + "hide_name": 1, + "bits": [ 5910 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.f5": { + "hide_name": 1, + "bits": [ 5911 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.f6": { + "hide_name": 1, + "bits": [ 5912 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.f7": { + "hide_name": 1, + "bits": [ 5913 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.g0": { + "hide_name": 1, + "bits": [ 5914 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.g1": { + "hide_name": 1, + "bits": [ 5915 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.g2": { + "hide_name": 1, + "bits": [ 5916 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.g3": { + "hide_name": 1, + "bits": [ 5917 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.h0": { + "hide_name": 1, + "bits": [ 5919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40078.h1": { + "hide_name": 1, + "bits": [ 5920 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.f0": { + "hide_name": 1, + "bits": [ 5922 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.f1": { + "hide_name": 1, + "bits": [ 5923 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.f2": { + "hide_name": 1, + "bits": [ 5924 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.f3": { + "hide_name": 1, + "bits": [ 5925 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.f4": { + "hide_name": 1, + "bits": [ 5926 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.f5": { + "hide_name": 1, + "bits": [ 5927 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.f6": { + "hide_name": 1, + "bits": [ 5928 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.f7": { + "hide_name": 1, + "bits": [ 5929 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.g0": { + "hide_name": 1, + "bits": [ 5930 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.g1": { + "hide_name": 1, + "bits": [ 5931 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.g2": { + "hide_name": 1, + "bits": [ 5932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.g3": { + "hide_name": 1, + "bits": [ 5933 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.h0": { + "hide_name": 1, + "bits": [ 5935 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40080.h1": { + "hide_name": 1, + "bits": [ 5936 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.f0": { + "hide_name": 1, + "bits": [ 5938 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.f1": { + "hide_name": 1, + "bits": [ 5939 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.f2": { + "hide_name": 1, + "bits": [ 5940 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.f3": { + "hide_name": 1, + "bits": [ 5941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.f4": { + "hide_name": 1, + "bits": [ 5942 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.f5": { + "hide_name": 1, + "bits": [ 5943 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.f6": { + "hide_name": 1, + "bits": [ 5944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.f7": { + "hide_name": 1, + "bits": [ 5945 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.g0": { + "hide_name": 1, + "bits": [ 5946 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.g1": { + "hide_name": 1, + "bits": [ 5947 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.g2": { + "hide_name": 1, + "bits": [ 5948 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.g3": { + "hide_name": 1, + "bits": [ 5949 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.h0": { + "hide_name": 1, + "bits": [ 5950 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40082.h1": { + "hide_name": 1, + "bits": [ 5951 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.f0": { + "hide_name": 1, + "bits": [ 5953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.f1": { + "hide_name": 1, + "bits": [ 5954 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.f2": { + "hide_name": 1, + "bits": [ 5955 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.f3": { + "hide_name": 1, + "bits": [ 5956 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.f4": { + "hide_name": 1, + "bits": [ 5957 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.f5": { + "hide_name": 1, + "bits": [ 5958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.f6": { + "hide_name": 1, + "bits": [ 5959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.f7": { + "hide_name": 1, + "bits": [ 5960 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.g0": { + "hide_name": 1, + "bits": [ 5961 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.g1": { + "hide_name": 1, + "bits": [ 5962 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.g2": { + "hide_name": 1, + "bits": [ 5963 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.g3": { + "hide_name": 1, + "bits": [ 5964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.h0": { + "hide_name": 1, + "bits": [ 5966 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40083.h1": { + "hide_name": 1, + "bits": [ 5967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.f0": { + "hide_name": 1, + "bits": [ 5971 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.f1": { + "hide_name": 1, + "bits": [ 5972 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.f2": { + "hide_name": 1, + "bits": [ 5973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.f3": { + "hide_name": 1, + "bits": [ 5974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.f4": { + "hide_name": 1, + "bits": [ 5975 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.f5": { + "hide_name": 1, + "bits": [ 5976 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.f6": { + "hide_name": 1, + "bits": [ 5977 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.f7": { + "hide_name": 1, + "bits": [ 5978 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.g0": { + "hide_name": 1, + "bits": [ 5980 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.g1": { + "hide_name": 1, + "bits": [ 5981 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.g2": { + "hide_name": 1, + "bits": [ 5982 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.g3": { + "hide_name": 1, + "bits": [ 5983 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.h0": { + "hide_name": 1, + "bits": [ 5985 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40085.h1": { + "hide_name": 1, + "bits": [ 5986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.f0": { + "hide_name": 1, + "bits": [ 5988 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.f1": { + "hide_name": 1, + "bits": [ 5989 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.f2": { + "hide_name": 1, + "bits": [ 5990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.f3": { + "hide_name": 1, + "bits": [ 5991 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.f4": { + "hide_name": 1, + "bits": [ 5992 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.f5": { + "hide_name": 1, + "bits": [ 5993 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.f6": { + "hide_name": 1, + "bits": [ 5994 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.f7": { + "hide_name": 1, + "bits": [ 5995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.g0": { + "hide_name": 1, + "bits": [ 5996 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.g1": { + "hide_name": 1, + "bits": [ 5997 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.g2": { + "hide_name": 1, + "bits": [ 5998 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.g3": { + "hide_name": 1, + "bits": [ 5999 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.h0": { + "hide_name": 1, + "bits": [ 6000 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40086.h1": { + "hide_name": 1, + "bits": [ 6001 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.f0": { + "hide_name": 1, + "bits": [ 6003 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.f1": { + "hide_name": 1, + "bits": [ 6004 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.f2": { + "hide_name": 1, + "bits": [ 6005 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.f3": { + "hide_name": 1, + "bits": [ 6006 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.f4": { + "hide_name": 1, + "bits": [ 6007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.f5": { + "hide_name": 1, + "bits": [ 6008 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.f6": { + "hide_name": 1, + "bits": [ 6009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.f7": { + "hide_name": 1, + "bits": [ 6010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.g0": { + "hide_name": 1, + "bits": [ 6011 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.g1": { + "hide_name": 1, + "bits": [ 6012 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.g2": { + "hide_name": 1, + "bits": [ 6013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.g3": { + "hide_name": 1, + "bits": [ 6014 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.h0": { + "hide_name": 1, + "bits": [ 6015 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40087.h1": { + "hide_name": 1, + "bits": [ 6016 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.f0": { + "hide_name": 1, + "bits": [ 6018 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.f1": { + "hide_name": 1, + "bits": [ 6019 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.f2": { + "hide_name": 1, + "bits": [ 6020 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.f3": { + "hide_name": 1, + "bits": [ 6021 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.f4": { + "hide_name": 1, + "bits": [ 6022 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.f5": { + "hide_name": 1, + "bits": [ 6023 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.f6": { + "hide_name": 1, + "bits": [ 6024 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.f7": { + "hide_name": 1, + "bits": [ 6025 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.g0": { + "hide_name": 1, + "bits": [ 6026 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.g1": { + "hide_name": 1, + "bits": [ 6027 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.g2": { + "hide_name": 1, + "bits": [ 6028 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.g3": { + "hide_name": 1, + "bits": [ 6029 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.h0": { + "hide_name": 1, + "bits": [ 6030 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40088.h1": { + "hide_name": 1, + "bits": [ 6031 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.f0": { + "hide_name": 1, + "bits": [ 6033 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.f1": { + "hide_name": 1, + "bits": [ 6034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.f2": { + "hide_name": 1, + "bits": [ 6035 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.f3": { + "hide_name": 1, + "bits": [ 6036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.f4": { + "hide_name": 1, + "bits": [ 6037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.f5": { + "hide_name": 1, + "bits": [ 6038 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.f6": { + "hide_name": 1, + "bits": [ 6039 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.f7": { + "hide_name": 1, + "bits": [ 6040 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.g0": { + "hide_name": 1, + "bits": [ 6041 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.g1": { + "hide_name": 1, + "bits": [ 6042 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.g2": { + "hide_name": 1, + "bits": [ 6043 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.g3": { + "hide_name": 1, + "bits": [ 6044 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.h0": { + "hide_name": 1, + "bits": [ 6045 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40089.h1": { + "hide_name": 1, + "bits": [ 6046 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.f0": { + "hide_name": 1, + "bits": [ 6048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.f1": { + "hide_name": 1, + "bits": [ 6049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.f2": { + "hide_name": 1, + "bits": [ 6050 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.f3": { + "hide_name": 1, + "bits": [ 6051 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.f4": { + "hide_name": 1, + "bits": [ 6052 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.f5": { + "hide_name": 1, + "bits": [ 6053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.f6": { + "hide_name": 1, + "bits": [ 6054 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.f7": { + "hide_name": 1, + "bits": [ 6055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.g0": { + "hide_name": 1, + "bits": [ 6056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.g1": { + "hide_name": 1, + "bits": [ 6057 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.g2": { + "hide_name": 1, + "bits": [ 6058 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.g3": { + "hide_name": 1, + "bits": [ 6059 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.h0": { + "hide_name": 1, + "bits": [ 6060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40090.h1": { + "hide_name": 1, + "bits": [ 6061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.f0": { + "hide_name": 1, + "bits": [ 6063 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.f1": { + "hide_name": 1, + "bits": [ 6064 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.f2": { + "hide_name": 1, + "bits": [ 6065 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.f3": { + "hide_name": 1, + "bits": [ 6066 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.f4": { + "hide_name": 1, + "bits": [ 6067 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.f5": { + "hide_name": 1, + "bits": [ 6068 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.f6": { + "hide_name": 1, + "bits": [ 6069 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.f7": { + "hide_name": 1, + "bits": [ 6070 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.g0": { + "hide_name": 1, + "bits": [ 6071 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.g1": { + "hide_name": 1, + "bits": [ 6072 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.g2": { + "hide_name": 1, + "bits": [ 6073 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.g3": { + "hide_name": 1, + "bits": [ 6074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.h0": { + "hide_name": 1, + "bits": [ 6075 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40091.h1": { + "hide_name": 1, + "bits": [ 6076 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.f0": { + "hide_name": 1, + "bits": [ 6078 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.f1": { + "hide_name": 1, + "bits": [ 6079 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.f2": { + "hide_name": 1, + "bits": [ 6080 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.f3": { + "hide_name": 1, + "bits": [ 6081 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.f4": { + "hide_name": 1, + "bits": [ 6082 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.f5": { + "hide_name": 1, + "bits": [ 6083 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.f6": { + "hide_name": 1, + "bits": [ 6084 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.f7": { + "hide_name": 1, + "bits": [ 6085 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.g0": { + "hide_name": 1, + "bits": [ 6086 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.g1": { + "hide_name": 1, + "bits": [ 6087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.g2": { + "hide_name": 1, + "bits": [ 6088 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.g3": { + "hide_name": 1, + "bits": [ 6089 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.h0": { + "hide_name": 1, + "bits": [ 6090 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40092.h1": { + "hide_name": 1, + "bits": [ 6091 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.f0": { + "hide_name": 1, + "bits": [ 6096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.f1": { + "hide_name": 1, + "bits": [ 6097 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.f2": { + "hide_name": 1, + "bits": [ 6098 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.f3": { + "hide_name": 1, + "bits": [ 6099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.f4": { + "hide_name": 1, + "bits": [ 6100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.f5": { + "hide_name": 1, + "bits": [ 6101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.f6": { + "hide_name": 1, + "bits": [ 6102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.f7": { + "hide_name": 1, + "bits": [ 6103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.g0": { + "hide_name": 1, + "bits": [ 6105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.g1": { + "hide_name": 1, + "bits": [ 6106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.g2": { + "hide_name": 1, + "bits": [ 6107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.g3": { + "hide_name": 1, + "bits": [ 6108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.h0": { + "hide_name": 1, + "bits": [ 6110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40093.h1": { + "hide_name": 1, + "bits": [ 6111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.f0": { + "hide_name": 1, + "bits": [ 6114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.f1": { + "hide_name": 1, + "bits": [ 6115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.f2": { + "hide_name": 1, + "bits": [ 6116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.f3": { + "hide_name": 1, + "bits": [ 6117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.f4": { + "hide_name": 1, + "bits": [ 6118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.f5": { + "hide_name": 1, + "bits": [ 6119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.f6": { + "hide_name": 1, + "bits": [ 6120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.f7": { + "hide_name": 1, + "bits": [ 6121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.g0": { + "hide_name": 1, + "bits": [ 6122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.g1": { + "hide_name": 1, + "bits": [ 6123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.g2": { + "hide_name": 1, + "bits": [ 6124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.g3": { + "hide_name": 1, + "bits": [ 6125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.h0": { + "hide_name": 1, + "bits": [ 6127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40094.h1": { + "hide_name": 1, + "bits": [ 6128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.f0": { + "hide_name": 1, + "bits": [ 6130 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.f1": { + "hide_name": 1, + "bits": [ 6131 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.f2": { + "hide_name": 1, + "bits": [ 6132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.f3": { + "hide_name": 1, + "bits": [ 6133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.f4": { + "hide_name": 1, + "bits": [ 6134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.f5": { + "hide_name": 1, + "bits": [ 6135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.f6": { + "hide_name": 1, + "bits": [ 6136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.f7": { + "hide_name": 1, + "bits": [ 6137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.g0": { + "hide_name": 1, + "bits": [ 6138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.g1": { + "hide_name": 1, + "bits": [ 6139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.g2": { + "hide_name": 1, + "bits": [ 6140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.g3": { + "hide_name": 1, + "bits": [ 6141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.h0": { + "hide_name": 1, + "bits": [ 6142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40096.h1": { + "hide_name": 1, + "bits": [ 6143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.f0": { + "hide_name": 1, + "bits": [ 6145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.f1": { + "hide_name": 1, + "bits": [ 6146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.f2": { + "hide_name": 1, + "bits": [ 6147 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.f3": { + "hide_name": 1, + "bits": [ 6148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.f4": { + "hide_name": 1, + "bits": [ 6149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.f5": { + "hide_name": 1, + "bits": [ 6150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.f6": { + "hide_name": 1, + "bits": [ 6151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.f7": { + "hide_name": 1, + "bits": [ 6152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.g0": { + "hide_name": 1, + "bits": [ 6153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.g1": { + "hide_name": 1, + "bits": [ 6154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.g2": { + "hide_name": 1, + "bits": [ 6155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.g3": { + "hide_name": 1, + "bits": [ 6156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.h0": { + "hide_name": 1, + "bits": [ 6157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40097.h1": { + "hide_name": 1, + "bits": [ 6158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.f0": { + "hide_name": 1, + "bits": [ 6160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.f1": { + "hide_name": 1, + "bits": [ 6161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.f2": { + "hide_name": 1, + "bits": [ 6162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.f3": { + "hide_name": 1, + "bits": [ 6163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.f4": { + "hide_name": 1, + "bits": [ 6164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.f5": { + "hide_name": 1, + "bits": [ 6165 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.f6": { + "hide_name": 1, + "bits": [ 6166 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.f7": { + "hide_name": 1, + "bits": [ 6167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.g0": { + "hide_name": 1, + "bits": [ 6168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.g1": { + "hide_name": 1, + "bits": [ 6169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.g2": { + "hide_name": 1, + "bits": [ 6170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.g3": { + "hide_name": 1, + "bits": [ 6171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.h0": { + "hide_name": 1, + "bits": [ 6172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40098.h1": { + "hide_name": 1, + "bits": [ 6173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.f0": { + "hide_name": 1, + "bits": [ 6175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.f1": { + "hide_name": 1, + "bits": [ 6176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.f2": { + "hide_name": 1, + "bits": [ 6177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.f3": { + "hide_name": 1, + "bits": [ 6178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.f4": { + "hide_name": 1, + "bits": [ 6179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.f5": { + "hide_name": 1, + "bits": [ 6180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.f6": { + "hide_name": 1, + "bits": [ 6181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.f7": { + "hide_name": 1, + "bits": [ 6182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.g0": { + "hide_name": 1, + "bits": [ 6183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.g1": { + "hide_name": 1, + "bits": [ 6184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.g2": { + "hide_name": 1, + "bits": [ 6185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.g3": { + "hide_name": 1, + "bits": [ 6186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.h0": { + "hide_name": 1, + "bits": [ 6188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40099.h1": { + "hide_name": 1, + "bits": [ 6189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.f0": { + "hide_name": 1, + "bits": [ 6191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.f1": { + "hide_name": 1, + "bits": [ 6192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.f2": { + "hide_name": 1, + "bits": [ 6193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.f3": { + "hide_name": 1, + "bits": [ 6194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.f4": { + "hide_name": 1, + "bits": [ 6195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.f5": { + "hide_name": 1, + "bits": [ 6196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.f6": { + "hide_name": 1, + "bits": [ 6197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.f7": { + "hide_name": 1, + "bits": [ 6198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.g0": { + "hide_name": 1, + "bits": [ 6199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.g1": { + "hide_name": 1, + "bits": [ 6200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.g2": { + "hide_name": 1, + "bits": [ 6201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.g3": { + "hide_name": 1, + "bits": [ 6202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.h0": { + "hide_name": 1, + "bits": [ 6204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40101.h1": { + "hide_name": 1, + "bits": [ 6205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.f0": { + "hide_name": 1, + "bits": [ 6207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.f1": { + "hide_name": 1, + "bits": [ 6208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.f2": { + "hide_name": 1, + "bits": [ 6209 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.f3": { + "hide_name": 1, + "bits": [ 6210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.f4": { + "hide_name": 1, + "bits": [ 6211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.f5": { + "hide_name": 1, + "bits": [ 6212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.f6": { + "hide_name": 1, + "bits": [ 6213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.f7": { + "hide_name": 1, + "bits": [ 6214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.g0": { + "hide_name": 1, + "bits": [ 6215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.g1": { + "hide_name": 1, + "bits": [ 6216 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.g2": { + "hide_name": 1, + "bits": [ 6217 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.g3": { + "hide_name": 1, + "bits": [ 6218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.h0": { + "hide_name": 1, + "bits": [ 6219 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40103.h1": { + "hide_name": 1, + "bits": [ 6220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40104.f0": { + "hide_name": 1, + "bits": [ 6225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40104.f1": { + "hide_name": 1, + "bits": [ 6226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.f0": { + "hide_name": 1, + "bits": [ 6229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.f1": { + "hide_name": 1, + "bits": [ 6230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.f2": { + "hide_name": 1, + "bits": [ 6231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.f3": { + "hide_name": 1, + "bits": [ 6232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.f4": { + "hide_name": 1, + "bits": [ 6233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.f5": { + "hide_name": 1, + "bits": [ 6234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.f6": { + "hide_name": 1, + "bits": [ 6235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.f7": { + "hide_name": 1, + "bits": [ 6236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.g0": { + "hide_name": 1, + "bits": [ 6237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.g1": { + "hide_name": 1, + "bits": [ 6238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.g2": { + "hide_name": 1, + "bits": [ 6239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.g3": { + "hide_name": 1, + "bits": [ 6240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.h0": { + "hide_name": 1, + "bits": [ 6242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40105.h1": { + "hide_name": 1, + "bits": [ 6243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.f0": { + "hide_name": 1, + "bits": [ 6245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.f1": { + "hide_name": 1, + "bits": [ 6246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.f2": { + "hide_name": 1, + "bits": [ 6247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.f3": { + "hide_name": 1, + "bits": [ 6248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.f4": { + "hide_name": 1, + "bits": [ 6249 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.f5": { + "hide_name": 1, + "bits": [ 6250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.f6": { + "hide_name": 1, + "bits": [ 6251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.f7": { + "hide_name": 1, + "bits": [ 6252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.g0": { + "hide_name": 1, + "bits": [ 6253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.g1": { + "hide_name": 1, + "bits": [ 6254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.g2": { + "hide_name": 1, + "bits": [ 6255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.g3": { + "hide_name": 1, + "bits": [ 6256 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.h0": { + "hide_name": 1, + "bits": [ 6258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40107.h1": { + "hide_name": 1, + "bits": [ 6259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.f0": { + "hide_name": 1, + "bits": [ 6261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.f1": { + "hide_name": 1, + "bits": [ 6262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.f2": { + "hide_name": 1, + "bits": [ 6263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.f3": { + "hide_name": 1, + "bits": [ 6264 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.f4": { + "hide_name": 1, + "bits": [ 6265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.f5": { + "hide_name": 1, + "bits": [ 6266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.f6": { + "hide_name": 1, + "bits": [ 6267 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.f7": { + "hide_name": 1, + "bits": [ 6268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.g0": { + "hide_name": 1, + "bits": [ 6269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.g1": { + "hide_name": 1, + "bits": [ 6270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.g2": { + "hide_name": 1, + "bits": [ 6271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.g3": { + "hide_name": 1, + "bits": [ 6272 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.h0": { + "hide_name": 1, + "bits": [ 6273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40109.h1": { + "hide_name": 1, + "bits": [ 6274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.f0": { + "hide_name": 1, + "bits": [ 6276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.f1": { + "hide_name": 1, + "bits": [ 6277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.f2": { + "hide_name": 1, + "bits": [ 6278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.f3": { + "hide_name": 1, + "bits": [ 6279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.f4": { + "hide_name": 1, + "bits": [ 6280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.f5": { + "hide_name": 1, + "bits": [ 6281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.f6": { + "hide_name": 1, + "bits": [ 6282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.f7": { + "hide_name": 1, + "bits": [ 6283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.g0": { + "hide_name": 1, + "bits": [ 6284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.g1": { + "hide_name": 1, + "bits": [ 6285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.g2": { + "hide_name": 1, + "bits": [ 6286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.g3": { + "hide_name": 1, + "bits": [ 6287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.h0": { + "hide_name": 1, + "bits": [ 6289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40110.h1": { + "hide_name": 1, + "bits": [ 6290 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.f0": { + "hide_name": 1, + "bits": [ 6292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.f1": { + "hide_name": 1, + "bits": [ 6293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.f2": { + "hide_name": 1, + "bits": [ 6294 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.f3": { + "hide_name": 1, + "bits": [ 6295 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.f4": { + "hide_name": 1, + "bits": [ 6296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.f5": { + "hide_name": 1, + "bits": [ 6297 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.f6": { + "hide_name": 1, + "bits": [ 6298 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.f7": { + "hide_name": 1, + "bits": [ 6299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.g0": { + "hide_name": 1, + "bits": [ 6300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.g1": { + "hide_name": 1, + "bits": [ 6301 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.g2": { + "hide_name": 1, + "bits": [ 6302 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.g3": { + "hide_name": 1, + "bits": [ 6303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.h0": { + "hide_name": 1, + "bits": [ 6305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40112.h1": { + "hide_name": 1, + "bits": [ 6306 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.f0": { + "hide_name": 1, + "bits": [ 6317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.f1": { + "hide_name": 1, + "bits": [ 6318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.f2": { + "hide_name": 1, + "bits": [ 6319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.f3": { + "hide_name": 1, + "bits": [ 6320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.f4": { + "hide_name": 1, + "bits": [ 6321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.f5": { + "hide_name": 1, + "bits": [ 6322 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.f6": { + "hide_name": 1, + "bits": [ 6323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.f7": { + "hide_name": 1, + "bits": [ 6324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.g0": { + "hide_name": 1, + "bits": [ 6326 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.g1": { + "hide_name": 1, + "bits": [ 6327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.g2": { + "hide_name": 1, + "bits": [ 6328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.g3": { + "hide_name": 1, + "bits": [ 6329 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.h0": { + "hide_name": 1, + "bits": [ 6331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40120.h1": { + "hide_name": 1, + "bits": [ 6332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40125.f0": { + "hide_name": 1, + "bits": [ 6340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40125.f1": { + "hide_name": 1, + "bits": [ 6341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.f0": { + "hide_name": 1, + "bits": [ 6348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.f1": { + "hide_name": 1, + "bits": [ 6349 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.f2": { + "hide_name": 1, + "bits": [ 6350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.f3": { + "hide_name": 1, + "bits": [ 6351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.f4": { + "hide_name": 1, + "bits": [ 6352 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.f5": { + "hide_name": 1, + "bits": [ 6353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.f6": { + "hide_name": 1, + "bits": [ 6354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.f7": { + "hide_name": 1, + "bits": [ 6355 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.g0": { + "hide_name": 1, + "bits": [ 6357 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.g1": { + "hide_name": 1, + "bits": [ 6358 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.g2": { + "hide_name": 1, + "bits": [ 6359 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.g3": { + "hide_name": 1, + "bits": [ 6360 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.h0": { + "hide_name": 1, + "bits": [ 6362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40128.h1": { + "hide_name": 1, + "bits": [ 6363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40133.f0": { + "hide_name": 1, + "bits": [ 6371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40133.f1": { + "hide_name": 1, + "bits": [ 6372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.f0": { + "hide_name": 1, + "bits": [ 6378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.f1": { + "hide_name": 1, + "bits": [ 6379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.f2": { + "hide_name": 1, + "bits": [ 6380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.f3": { + "hide_name": 1, + "bits": [ 6381 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.f4": { + "hide_name": 1, + "bits": [ 6382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.f5": { + "hide_name": 1, + "bits": [ 6383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.f6": { + "hide_name": 1, + "bits": [ 6384 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.f7": { + "hide_name": 1, + "bits": [ 6385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.g0": { + "hide_name": 1, + "bits": [ 6387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.g1": { + "hide_name": 1, + "bits": [ 6388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.g2": { + "hide_name": 1, + "bits": [ 6389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.g3": { + "hide_name": 1, + "bits": [ 6390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.h0": { + "hide_name": 1, + "bits": [ 6392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40136.h1": { + "hide_name": 1, + "bits": [ 6393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40137.f0": { + "hide_name": 1, + "bits": [ 6397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40137.f1": { + "hide_name": 1, + "bits": [ 6398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.f0": { + "hide_name": 1, + "bits": [ 6406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.f1": { + "hide_name": 1, + "bits": [ 6407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.f2": { + "hide_name": 1, + "bits": [ 6408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.f3": { + "hide_name": 1, + "bits": [ 6409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.f4": { + "hide_name": 1, + "bits": [ 6410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.f5": { + "hide_name": 1, + "bits": [ 6411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.f6": { + "hide_name": 1, + "bits": [ 6412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.f7": { + "hide_name": 1, + "bits": [ 6413 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.g0": { + "hide_name": 1, + "bits": [ 6414 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.g1": { + "hide_name": 1, + "bits": [ 6415 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.g2": { + "hide_name": 1, + "bits": [ 6416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.g3": { + "hide_name": 1, + "bits": [ 6417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.h0": { + "hide_name": 1, + "bits": [ 6419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40144.h1": { + "hide_name": 1, + "bits": [ 6420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.f0": { + "hide_name": 1, + "bits": [ 6422 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.f1": { + "hide_name": 1, + "bits": [ 6423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.f2": { + "hide_name": 1, + "bits": [ 6424 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.f3": { + "hide_name": 1, + "bits": [ 6425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.f4": { + "hide_name": 1, + "bits": [ 6426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.f5": { + "hide_name": 1, + "bits": [ 6427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.f6": { + "hide_name": 1, + "bits": [ 6428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.f7": { + "hide_name": 1, + "bits": [ 6429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.g0": { + "hide_name": 1, + "bits": [ 6430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.g1": { + "hide_name": 1, + "bits": [ 6431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.g2": { + "hide_name": 1, + "bits": [ 6432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.g3": { + "hide_name": 1, + "bits": [ 6433 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.h0": { + "hide_name": 1, + "bits": [ 6434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40146.h1": { + "hide_name": 1, + "bits": [ 6435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.f0": { + "hide_name": 1, + "bits": [ 6438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.f1": { + "hide_name": 1, + "bits": [ 6439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.f2": { + "hide_name": 1, + "bits": [ 6440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.f3": { + "hide_name": 1, + "bits": [ 6441 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.f4": { + "hide_name": 1, + "bits": [ 6442 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.f5": { + "hide_name": 1, + "bits": [ 6443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.f6": { + "hide_name": 1, + "bits": [ 6444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.f7": { + "hide_name": 1, + "bits": [ 6445 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.g0": { + "hide_name": 1, + "bits": [ 6447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.g1": { + "hide_name": 1, + "bits": [ 6448 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.g2": { + "hide_name": 1, + "bits": [ 6449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.g3": { + "hide_name": 1, + "bits": [ 6450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.h0": { + "hide_name": 1, + "bits": [ 6452 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40148.h1": { + "hide_name": 1, + "bits": [ 6453 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.f0": { + "hide_name": 1, + "bits": [ 6455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.f1": { + "hide_name": 1, + "bits": [ 6456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.f2": { + "hide_name": 1, + "bits": [ 6457 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.f3": { + "hide_name": 1, + "bits": [ 6458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.f4": { + "hide_name": 1, + "bits": [ 6459 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.f5": { + "hide_name": 1, + "bits": [ 6460 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.f6": { + "hide_name": 1, + "bits": [ 6461 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.f7": { + "hide_name": 1, + "bits": [ 6462 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.g0": { + "hide_name": 1, + "bits": [ 6463 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.g1": { + "hide_name": 1, + "bits": [ 6464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.g2": { + "hide_name": 1, + "bits": [ 6465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.g3": { + "hide_name": 1, + "bits": [ 6466 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.h0": { + "hide_name": 1, + "bits": [ 6467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40149.h1": { + "hide_name": 1, + "bits": [ 6468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.f0": { + "hide_name": 1, + "bits": [ 6470 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.f1": { + "hide_name": 1, + "bits": [ 6471 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.f2": { + "hide_name": 1, + "bits": [ 6472 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.f3": { + "hide_name": 1, + "bits": [ 6473 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.f4": { + "hide_name": 1, + "bits": [ 6474 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.f5": { + "hide_name": 1, + "bits": [ 6475 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.f6": { + "hide_name": 1, + "bits": [ 6476 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.f7": { + "hide_name": 1, + "bits": [ 6477 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.g0": { + "hide_name": 1, + "bits": [ 6478 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.g1": { + "hide_name": 1, + "bits": [ 6479 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.g2": { + "hide_name": 1, + "bits": [ 6480 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.g3": { + "hide_name": 1, + "bits": [ 6481 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.h0": { + "hide_name": 1, + "bits": [ 6482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40150.h1": { + "hide_name": 1, + "bits": [ 6483 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.f0": { + "hide_name": 1, + "bits": [ 6487 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.f1": { + "hide_name": 1, + "bits": [ 6488 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.f2": { + "hide_name": 1, + "bits": [ 6489 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.f3": { + "hide_name": 1, + "bits": [ 6490 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.f4": { + "hide_name": 1, + "bits": [ 6491 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.f5": { + "hide_name": 1, + "bits": [ 6492 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.f6": { + "hide_name": 1, + "bits": [ 6493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.f7": { + "hide_name": 1, + "bits": [ 6494 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.g0": { + "hide_name": 1, + "bits": [ 6495 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.g1": { + "hide_name": 1, + "bits": [ 6496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.g2": { + "hide_name": 1, + "bits": [ 6497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.g3": { + "hide_name": 1, + "bits": [ 6498 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.h0": { + "hide_name": 1, + "bits": [ 6499 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40151.h1": { + "hide_name": 1, + "bits": [ 6500 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.f0": { + "hide_name": 1, + "bits": [ 6505 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.f1": { + "hide_name": 1, + "bits": [ 6506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.f2": { + "hide_name": 1, + "bits": [ 6507 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.f3": { + "hide_name": 1, + "bits": [ 6508 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.g0": { + "hide_name": 1, + "bits": [ 6510 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40152.g1": { + "hide_name": 1, + "bits": [ 6511 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.f0": { + "hide_name": 1, + "bits": [ 6514 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.f1": { + "hide_name": 1, + "bits": [ 6515 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.f2": { + "hide_name": 1, + "bits": [ 6516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.f3": { + "hide_name": 1, + "bits": [ 6517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.f4": { + "hide_name": 1, + "bits": [ 6518 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.f5": { + "hide_name": 1, + "bits": [ 6519 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.f6": { + "hide_name": 1, + "bits": [ 6520 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.f7": { + "hide_name": 1, + "bits": [ 6521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.g0": { + "hide_name": 1, + "bits": [ 6522 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.g1": { + "hide_name": 1, + "bits": [ 6523 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.g2": { + "hide_name": 1, + "bits": [ 6524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.g3": { + "hide_name": 1, + "bits": [ 6525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.h0": { + "hide_name": 1, + "bits": [ 6526 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40153.h1": { + "hide_name": 1, + "bits": [ 6527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.f0": { + "hide_name": 1, + "bits": [ 6529 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.f1": { + "hide_name": 1, + "bits": [ 6530 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.f2": { + "hide_name": 1, + "bits": [ 6531 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.f3": { + "hide_name": 1, + "bits": [ 6532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.f4": { + "hide_name": 1, + "bits": [ 6533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.f5": { + "hide_name": 1, + "bits": [ 6534 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.f6": { + "hide_name": 1, + "bits": [ 6535 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.f7": { + "hide_name": 1, + "bits": [ 6536 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.g0": { + "hide_name": 1, + "bits": [ 6537 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.g1": { + "hide_name": 1, + "bits": [ 6538 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.g2": { + "hide_name": 1, + "bits": [ 6539 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.g3": { + "hide_name": 1, + "bits": [ 6540 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.h0": { + "hide_name": 1, + "bits": [ 6541 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40154.h1": { + "hide_name": 1, + "bits": [ 6542 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.f0": { + "hide_name": 1, + "bits": [ 6544 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.f1": { + "hide_name": 1, + "bits": [ 6545 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.f2": { + "hide_name": 1, + "bits": [ 6546 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.f3": { + "hide_name": 1, + "bits": [ 6547 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.f4": { + "hide_name": 1, + "bits": [ 6548 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.f5": { + "hide_name": 1, + "bits": [ 6549 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.f6": { + "hide_name": 1, + "bits": [ 6550 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.f7": { + "hide_name": 1, + "bits": [ 6551 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.g0": { + "hide_name": 1, + "bits": [ 6552 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.g1": { + "hide_name": 1, + "bits": [ 6553 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.g2": { + "hide_name": 1, + "bits": [ 6554 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.g3": { + "hide_name": 1, + "bits": [ 6555 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.h0": { + "hide_name": 1, + "bits": [ 6556 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40155.h1": { + "hide_name": 1, + "bits": [ 6557 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.f0": { + "hide_name": 1, + "bits": [ 6559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.f1": { + "hide_name": 1, + "bits": [ 6560 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.f2": { + "hide_name": 1, + "bits": [ 6561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.f3": { + "hide_name": 1, + "bits": [ 6562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.f4": { + "hide_name": 1, + "bits": [ 6563 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.f5": { + "hide_name": 1, + "bits": [ 6564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.f6": { + "hide_name": 1, + "bits": [ 6565 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.f7": { + "hide_name": 1, + "bits": [ 6566 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.g0": { + "hide_name": 1, + "bits": [ 6567 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.g1": { + "hide_name": 1, + "bits": [ 6568 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.g2": { + "hide_name": 1, + "bits": [ 6569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.g3": { + "hide_name": 1, + "bits": [ 6570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.h0": { + "hide_name": 1, + "bits": [ 6572 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40156.h1": { + "hide_name": 1, + "bits": [ 6573 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.f0": { + "hide_name": 1, + "bits": [ 6575 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.f1": { + "hide_name": 1, + "bits": [ 6576 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.f2": { + "hide_name": 1, + "bits": [ 6577 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.f3": { + "hide_name": 1, + "bits": [ 6578 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.f4": { + "hide_name": 1, + "bits": [ 6579 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.f5": { + "hide_name": 1, + "bits": [ 6580 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.f6": { + "hide_name": 1, + "bits": [ 6581 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.f7": { + "hide_name": 1, + "bits": [ 6582 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.g0": { + "hide_name": 1, + "bits": [ 6583 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.g1": { + "hide_name": 1, + "bits": [ 6584 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.g2": { + "hide_name": 1, + "bits": [ 6585 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.g3": { + "hide_name": 1, + "bits": [ 6586 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.h0": { + "hide_name": 1, + "bits": [ 6588 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40158.h1": { + "hide_name": 1, + "bits": [ 6589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.f0": { + "hide_name": 1, + "bits": [ 6591 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.f1": { + "hide_name": 1, + "bits": [ 6592 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.f2": { + "hide_name": 1, + "bits": [ 6593 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.f3": { + "hide_name": 1, + "bits": [ 6594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.f4": { + "hide_name": 1, + "bits": [ 6595 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.f5": { + "hide_name": 1, + "bits": [ 6596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.f6": { + "hide_name": 1, + "bits": [ 6597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.f7": { + "hide_name": 1, + "bits": [ 6598 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.g0": { + "hide_name": 1, + "bits": [ 6599 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.g1": { + "hide_name": 1, + "bits": [ 6600 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.g2": { + "hide_name": 1, + "bits": [ 6601 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.g3": { + "hide_name": 1, + "bits": [ 6602 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.h0": { + "hide_name": 1, + "bits": [ 6603 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40160.h1": { + "hide_name": 1, + "bits": [ 6604 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.f0": { + "hide_name": 1, + "bits": [ 6607 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.f1": { + "hide_name": 1, + "bits": [ 6608 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.f2": { + "hide_name": 1, + "bits": [ 6609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.f3": { + "hide_name": 1, + "bits": [ 6610 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.f4": { + "hide_name": 1, + "bits": [ 6611 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.f5": { + "hide_name": 1, + "bits": [ 6612 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.f6": { + "hide_name": 1, + "bits": [ 6613 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.f7": { + "hide_name": 1, + "bits": [ 6614 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.g0": { + "hide_name": 1, + "bits": [ 6615 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.g1": { + "hide_name": 1, + "bits": [ 6616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.g2": { + "hide_name": 1, + "bits": [ 6617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.g3": { + "hide_name": 1, + "bits": [ 6618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.h0": { + "hide_name": 1, + "bits": [ 6620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40161.h1": { + "hide_name": 1, + "bits": [ 6621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.f0": { + "hide_name": 1, + "bits": [ 6624 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.f1": { + "hide_name": 1, + "bits": [ 6625 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.f2": { + "hide_name": 1, + "bits": [ 6626 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.f3": { + "hide_name": 1, + "bits": [ 6627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.f4": { + "hide_name": 1, + "bits": [ 6628 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.f5": { + "hide_name": 1, + "bits": [ 6629 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.f6": { + "hide_name": 1, + "bits": [ 6630 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.f7": { + "hide_name": 1, + "bits": [ 6631 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.g0": { + "hide_name": 1, + "bits": [ 6632 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.g1": { + "hide_name": 1, + "bits": [ 6633 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.g2": { + "hide_name": 1, + "bits": [ 6634 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.g3": { + "hide_name": 1, + "bits": [ 6635 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.h0": { + "hide_name": 1, + "bits": [ 6636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40162.h1": { + "hide_name": 1, + "bits": [ 6637 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.f0": { + "hide_name": 1, + "bits": [ 6639 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.f1": { + "hide_name": 1, + "bits": [ 6640 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.f2": { + "hide_name": 1, + "bits": [ 6641 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.f3": { + "hide_name": 1, + "bits": [ 6642 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.f4": { + "hide_name": 1, + "bits": [ 6643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.f5": { + "hide_name": 1, + "bits": [ 6644 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.f6": { + "hide_name": 1, + "bits": [ 6645 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.f7": { + "hide_name": 1, + "bits": [ 6646 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.g0": { + "hide_name": 1, + "bits": [ 6647 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.g1": { + "hide_name": 1, + "bits": [ 6648 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.g2": { + "hide_name": 1, + "bits": [ 6649 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.g3": { + "hide_name": 1, + "bits": [ 6650 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.h0": { + "hide_name": 1, + "bits": [ 6652 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40163.h1": { + "hide_name": 1, + "bits": [ 6653 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.f0": { + "hide_name": 1, + "bits": [ 6658 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.f1": { + "hide_name": 1, + "bits": [ 6659 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.f2": { + "hide_name": 1, + "bits": [ 6660 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.f3": { + "hide_name": 1, + "bits": [ 6661 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.g0": { + "hide_name": 1, + "bits": [ 6663 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40165.g1": { + "hide_name": 1, + "bits": [ 6664 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.f0": { + "hide_name": 1, + "bits": [ 6667 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.f1": { + "hide_name": 1, + "bits": [ 6668 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.f2": { + "hide_name": 1, + "bits": [ 6669 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.f3": { + "hide_name": 1, + "bits": [ 6670 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.f4": { + "hide_name": 1, + "bits": [ 6671 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.f5": { + "hide_name": 1, + "bits": [ 6672 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.f6": { + "hide_name": 1, + "bits": [ 6673 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.f7": { + "hide_name": 1, + "bits": [ 6674 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.g0": { + "hide_name": 1, + "bits": [ 6675 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.g1": { + "hide_name": 1, + "bits": [ 6676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.g2": { + "hide_name": 1, + "bits": [ 6677 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.g3": { + "hide_name": 1, + "bits": [ 6678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.h0": { + "hide_name": 1, + "bits": [ 6679 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40166.h1": { + "hide_name": 1, + "bits": [ 6680 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.f0": { + "hide_name": 1, + "bits": [ 6682 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.f1": { + "hide_name": 1, + "bits": [ 6683 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.f2": { + "hide_name": 1, + "bits": [ 6684 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.f3": { + "hide_name": 1, + "bits": [ 6685 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.f4": { + "hide_name": 1, + "bits": [ 6686 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.f5": { + "hide_name": 1, + "bits": [ 6687 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.f6": { + "hide_name": 1, + "bits": [ 6688 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.f7": { + "hide_name": 1, + "bits": [ 6689 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.g0": { + "hide_name": 1, + "bits": [ 6690 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.g1": { + "hide_name": 1, + "bits": [ 6691 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.g2": { + "hide_name": 1, + "bits": [ 6692 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.g3": { + "hide_name": 1, + "bits": [ 6693 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.h0": { + "hide_name": 1, + "bits": [ 6694 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40167.h1": { + "hide_name": 1, + "bits": [ 6695 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.f0": { + "hide_name": 1, + "bits": [ 6697 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.f1": { + "hide_name": 1, + "bits": [ 6698 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.f2": { + "hide_name": 1, + "bits": [ 6699 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.f3": { + "hide_name": 1, + "bits": [ 6700 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.f4": { + "hide_name": 1, + "bits": [ 6701 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.f5": { + "hide_name": 1, + "bits": [ 6702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.f6": { + "hide_name": 1, + "bits": [ 6703 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.f7": { + "hide_name": 1, + "bits": [ 6704 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.g0": { + "hide_name": 1, + "bits": [ 6705 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.g1": { + "hide_name": 1, + "bits": [ 6706 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.g2": { + "hide_name": 1, + "bits": [ 6707 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.g3": { + "hide_name": 1, + "bits": [ 6708 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.h0": { + "hide_name": 1, + "bits": [ 6709 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40168.h1": { + "hide_name": 1, + "bits": [ 6710 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.f0": { + "hide_name": 1, + "bits": [ 6712 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.f1": { + "hide_name": 1, + "bits": [ 6713 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.f2": { + "hide_name": 1, + "bits": [ 6714 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.f3": { + "hide_name": 1, + "bits": [ 6715 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.f4": { + "hide_name": 1, + "bits": [ 6716 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.f5": { + "hide_name": 1, + "bits": [ 6717 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.f6": { + "hide_name": 1, + "bits": [ 6718 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.f7": { + "hide_name": 1, + "bits": [ 6719 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.g0": { + "hide_name": 1, + "bits": [ 6720 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.g1": { + "hide_name": 1, + "bits": [ 6721 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.g2": { + "hide_name": 1, + "bits": [ 6722 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.g3": { + "hide_name": 1, + "bits": [ 6723 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.h0": { + "hide_name": 1, + "bits": [ 6724 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40169.h1": { + "hide_name": 1, + "bits": [ 6725 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.f0": { + "hide_name": 1, + "bits": [ 6727 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.f1": { + "hide_name": 1, + "bits": [ 6728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.f2": { + "hide_name": 1, + "bits": [ 6729 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.f3": { + "hide_name": 1, + "bits": [ 6730 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.f4": { + "hide_name": 1, + "bits": [ 6731 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.f5": { + "hide_name": 1, + "bits": [ 6732 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.f6": { + "hide_name": 1, + "bits": [ 6733 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.f7": { + "hide_name": 1, + "bits": [ 6734 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.g0": { + "hide_name": 1, + "bits": [ 6735 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.g1": { + "hide_name": 1, + "bits": [ 6736 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.g2": { + "hide_name": 1, + "bits": [ 6737 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.g3": { + "hide_name": 1, + "bits": [ 6738 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.h0": { + "hide_name": 1, + "bits": [ 6739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40170.h1": { + "hide_name": 1, + "bits": [ 6740 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.f0": { + "hide_name": 1, + "bits": [ 6742 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.f1": { + "hide_name": 1, + "bits": [ 6743 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.f2": { + "hide_name": 1, + "bits": [ 6744 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.f3": { + "hide_name": 1, + "bits": [ 6745 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.f4": { + "hide_name": 1, + "bits": [ 6746 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.f5": { + "hide_name": 1, + "bits": [ 6747 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.f6": { + "hide_name": 1, + "bits": [ 6748 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.f7": { + "hide_name": 1, + "bits": [ 6749 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.g0": { + "hide_name": 1, + "bits": [ 6750 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.g1": { + "hide_name": 1, + "bits": [ 6751 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.g2": { + "hide_name": 1, + "bits": [ 6752 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.g3": { + "hide_name": 1, + "bits": [ 6753 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.h0": { + "hide_name": 1, + "bits": [ 6754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40171.h1": { + "hide_name": 1, + "bits": [ 6755 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.f0": { + "hide_name": 1, + "bits": [ 6760 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.f1": { + "hide_name": 1, + "bits": [ 6761 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.f2": { + "hide_name": 1, + "bits": [ 6762 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.f3": { + "hide_name": 1, + "bits": [ 6763 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.f4": { + "hide_name": 1, + "bits": [ 6764 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.f5": { + "hide_name": 1, + "bits": [ 6765 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.f6": { + "hide_name": 1, + "bits": [ 6766 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.f7": { + "hide_name": 1, + "bits": [ 6767 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.g0": { + "hide_name": 1, + "bits": [ 6769 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.g1": { + "hide_name": 1, + "bits": [ 6770 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.g2": { + "hide_name": 1, + "bits": [ 6771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.g3": { + "hide_name": 1, + "bits": [ 6772 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.h0": { + "hide_name": 1, + "bits": [ 6774 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40172.h1": { + "hide_name": 1, + "bits": [ 6775 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.f0": { + "hide_name": 1, + "bits": [ 6777 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.f1": { + "hide_name": 1, + "bits": [ 6778 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.f2": { + "hide_name": 1, + "bits": [ 6779 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.f3": { + "hide_name": 1, + "bits": [ 6780 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.f4": { + "hide_name": 1, + "bits": [ 6781 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.f5": { + "hide_name": 1, + "bits": [ 6782 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.f6": { + "hide_name": 1, + "bits": [ 6783 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.f7": { + "hide_name": 1, + "bits": [ 6784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.g0": { + "hide_name": 1, + "bits": [ 6785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.g1": { + "hide_name": 1, + "bits": [ 6786 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.g2": { + "hide_name": 1, + "bits": [ 6787 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.g3": { + "hide_name": 1, + "bits": [ 6788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.h0": { + "hide_name": 1, + "bits": [ 6790 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40173.h1": { + "hide_name": 1, + "bits": [ 6791 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.f0": { + "hide_name": 1, + "bits": [ 6793 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.f1": { + "hide_name": 1, + "bits": [ 6794 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.f2": { + "hide_name": 1, + "bits": [ 6795 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.f3": { + "hide_name": 1, + "bits": [ 6796 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.f4": { + "hide_name": 1, + "bits": [ 6797 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.f5": { + "hide_name": 1, + "bits": [ 6798 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.f6": { + "hide_name": 1, + "bits": [ 6799 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.f7": { + "hide_name": 1, + "bits": [ 6800 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.g0": { + "hide_name": 1, + "bits": [ 6801 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.g1": { + "hide_name": 1, + "bits": [ 6802 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.g2": { + "hide_name": 1, + "bits": [ 6803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.g3": { + "hide_name": 1, + "bits": [ 6804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.h0": { + "hide_name": 1, + "bits": [ 6806 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40175.h1": { + "hide_name": 1, + "bits": [ 6807 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.f0": { + "hide_name": 1, + "bits": [ 6809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.f1": { + "hide_name": 1, + "bits": [ 6810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.f2": { + "hide_name": 1, + "bits": [ 6811 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.f3": { + "hide_name": 1, + "bits": [ 6812 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.f4": { + "hide_name": 1, + "bits": [ 6813 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.f5": { + "hide_name": 1, + "bits": [ 6814 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.f6": { + "hide_name": 1, + "bits": [ 6815 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.f7": { + "hide_name": 1, + "bits": [ 6816 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.g0": { + "hide_name": 1, + "bits": [ 6817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.g1": { + "hide_name": 1, + "bits": [ 6818 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.g2": { + "hide_name": 1, + "bits": [ 6819 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.g3": { + "hide_name": 1, + "bits": [ 6820 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.h0": { + "hide_name": 1, + "bits": [ 6821 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40177.h1": { + "hide_name": 1, + "bits": [ 6822 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.f0": { + "hide_name": 1, + "bits": [ 6824 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.f1": { + "hide_name": 1, + "bits": [ 6825 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.f2": { + "hide_name": 1, + "bits": [ 6826 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.f3": { + "hide_name": 1, + "bits": [ 6827 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.f4": { + "hide_name": 1, + "bits": [ 6828 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.f5": { + "hide_name": 1, + "bits": [ 6829 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.f6": { + "hide_name": 1, + "bits": [ 6830 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.f7": { + "hide_name": 1, + "bits": [ 6831 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.g0": { + "hide_name": 1, + "bits": [ 6832 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.g1": { + "hide_name": 1, + "bits": [ 6833 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.g2": { + "hide_name": 1, + "bits": [ 6834 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.g3": { + "hide_name": 1, + "bits": [ 6835 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.h0": { + "hide_name": 1, + "bits": [ 6836 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40178.h1": { + "hide_name": 1, + "bits": [ 6837 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.f0": { + "hide_name": 1, + "bits": [ 6846 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.f1": { + "hide_name": 1, + "bits": [ 6847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.f2": { + "hide_name": 1, + "bits": [ 6848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.f3": { + "hide_name": 1, + "bits": [ 6849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.g0": { + "hide_name": 1, + "bits": [ 6851 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40185.g1": { + "hide_name": 1, + "bits": [ 6852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.f0": { + "hide_name": 1, + "bits": [ 6856 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.f1": { + "hide_name": 1, + "bits": [ 6857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.f2": { + "hide_name": 1, + "bits": [ 6858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.f3": { + "hide_name": 1, + "bits": [ 6859 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.g0": { + "hide_name": 1, + "bits": [ 6860 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40186.g1": { + "hide_name": 1, + "bits": [ 6861 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.f0": { + "hide_name": 1, + "bits": [ 6866 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.f1": { + "hide_name": 1, + "bits": [ 6867 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.f2": { + "hide_name": 1, + "bits": [ 6868 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.f3": { + "hide_name": 1, + "bits": [ 6869 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.g0": { + "hide_name": 1, + "bits": [ 6870 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40189.g1": { + "hide_name": 1, + "bits": [ 6871 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.f0": { + "hide_name": 1, + "bits": [ 6874 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.f1": { + "hide_name": 1, + "bits": [ 6875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.f2": { + "hide_name": 1, + "bits": [ 6876 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.f3": { + "hide_name": 1, + "bits": [ 6877 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.g0": { + "hide_name": 1, + "bits": [ 6878 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40190.g1": { + "hide_name": 1, + "bits": [ 6879 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.f0": { + "hide_name": 1, + "bits": [ 6884 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.f1": { + "hide_name": 1, + "bits": [ 6885 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.f2": { + "hide_name": 1, + "bits": [ 6886 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.f3": { + "hide_name": 1, + "bits": [ 6887 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.g0": { + "hide_name": 1, + "bits": [ 6888 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40191.g1": { + "hide_name": 1, + "bits": [ 6889 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.f0": { + "hide_name": 1, + "bits": [ 6894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.f1": { + "hide_name": 1, + "bits": [ 6895 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.f2": { + "hide_name": 1, + "bits": [ 6896 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.f3": { + "hide_name": 1, + "bits": [ 6897 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.f4": { + "hide_name": 1, + "bits": [ 6898 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.f5": { + "hide_name": 1, + "bits": [ 6899 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.f6": { + "hide_name": 1, + "bits": [ 6900 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.f7": { + "hide_name": 1, + "bits": [ 6901 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.g0": { + "hide_name": 1, + "bits": [ 6903 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.g1": { + "hide_name": 1, + "bits": [ 6904 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.g2": { + "hide_name": 1, + "bits": [ 6905 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.g3": { + "hide_name": 1, + "bits": [ 6906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.h0": { + "hide_name": 1, + "bits": [ 6908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40194.h1": { + "hide_name": 1, + "bits": [ 6909 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.f0": { + "hide_name": 1, + "bits": [ 6916 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.f1": { + "hide_name": 1, + "bits": [ 6917 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.f2": { + "hide_name": 1, + "bits": [ 6918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.f3": { + "hide_name": 1, + "bits": [ 6919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.g0": { + "hide_name": 1, + "bits": [ 6920 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40198.g1": { + "hide_name": 1, + "bits": [ 6921 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.f0": { + "hide_name": 1, + "bits": [ 6924 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.f1": { + "hide_name": 1, + "bits": [ 6925 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.f2": { + "hide_name": 1, + "bits": [ 6926 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.f3": { + "hide_name": 1, + "bits": [ 6927 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.g0": { + "hide_name": 1, + "bits": [ 6928 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40199.g1": { + "hide_name": 1, + "bits": [ 6929 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.f0": { + "hide_name": 1, + "bits": [ 6932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.f1": { + "hide_name": 1, + "bits": [ 6933 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.f2": { + "hide_name": 1, + "bits": [ 6934 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.f3": { + "hide_name": 1, + "bits": [ 6935 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.g0": { + "hide_name": 1, + "bits": [ 6936 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40200.g1": { + "hide_name": 1, + "bits": [ 6937 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.f0": { + "hide_name": 1, + "bits": [ 6941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.f1": { + "hide_name": 1, + "bits": [ 6942 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.f2": { + "hide_name": 1, + "bits": [ 6943 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.f3": { + "hide_name": 1, + "bits": [ 6944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.g0": { + "hide_name": 1, + "bits": [ 6945 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40201.g1": { + "hide_name": 1, + "bits": [ 6946 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.f0": { + "hide_name": 1, + "bits": [ 6954 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.f1": { + "hide_name": 1, + "bits": [ 6955 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.f2": { + "hide_name": 1, + "bits": [ 6956 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.f3": { + "hide_name": 1, + "bits": [ 6957 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.g0": { + "hide_name": 1, + "bits": [ 6958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40204.g1": { + "hide_name": 1, + "bits": [ 6959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.f0": { + "hide_name": 1, + "bits": [ 6963 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.f1": { + "hide_name": 1, + "bits": [ 6964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.f2": { + "hide_name": 1, + "bits": [ 6965 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.f3": { + "hide_name": 1, + "bits": [ 6966 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.g0": { + "hide_name": 1, + "bits": [ 6967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40206.g1": { + "hide_name": 1, + "bits": [ 6968 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.f0": { + "hide_name": 1, + "bits": [ 6973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.f1": { + "hide_name": 1, + "bits": [ 6974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.f2": { + "hide_name": 1, + "bits": [ 6975 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.f3": { + "hide_name": 1, + "bits": [ 6976 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.g0": { + "hide_name": 1, + "bits": [ 6977 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40208.g1": { + "hide_name": 1, + "bits": [ 6978 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.f0": { + "hide_name": 1, + "bits": [ 6981 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.f1": { + "hide_name": 1, + "bits": [ 6982 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.f2": { + "hide_name": 1, + "bits": [ 6983 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.f3": { + "hide_name": 1, + "bits": [ 6984 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.g0": { + "hide_name": 1, + "bits": [ 6985 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40211.g1": { + "hide_name": 1, + "bits": [ 6986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.f0": { + "hide_name": 1, + "bits": [ 6988 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.f1": { + "hide_name": 1, + "bits": [ 6989 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.f2": { + "hide_name": 1, + "bits": [ 6990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.f3": { + "hide_name": 1, + "bits": [ 6991 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.f4": { + "hide_name": 1, + "bits": [ 6992 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.f5": { + "hide_name": 1, + "bits": [ 6993 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.f6": { + "hide_name": 1, + "bits": [ 6994 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.f7": { + "hide_name": 1, + "bits": [ 6995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.g0": { + "hide_name": 1, + "bits": [ 6996 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.g1": { + "hide_name": 1, + "bits": [ 6997 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.g2": { + "hide_name": 1, + "bits": [ 6998 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.g3": { + "hide_name": 1, + "bits": [ 6999 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.h0": { + "hide_name": 1, + "bits": [ 7001 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40212.h1": { + "hide_name": 1, + "bits": [ 7002 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.f0": { + "hide_name": 1, + "bits": [ 7004 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.f1": { + "hide_name": 1, + "bits": [ 7005 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.f2": { + "hide_name": 1, + "bits": [ 7006 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.f3": { + "hide_name": 1, + "bits": [ 7007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.f4": { + "hide_name": 1, + "bits": [ 7008 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.f5": { + "hide_name": 1, + "bits": [ 7009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.f6": { + "hide_name": 1, + "bits": [ 7010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.f7": { + "hide_name": 1, + "bits": [ 7011 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.g0": { + "hide_name": 1, + "bits": [ 7012 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.g1": { + "hide_name": 1, + "bits": [ 7013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.g2": { + "hide_name": 1, + "bits": [ 7014 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.g3": { + "hide_name": 1, + "bits": [ 7015 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.h0": { + "hide_name": 1, + "bits": [ 7017 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40214.h1": { + "hide_name": 1, + "bits": [ 7018 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.f0": { + "hide_name": 1, + "bits": [ 7023 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.f1": { + "hide_name": 1, + "bits": [ 7024 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.f2": { + "hide_name": 1, + "bits": [ 7025 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.f3": { + "hide_name": 1, + "bits": [ 7026 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.f4": { + "hide_name": 1, + "bits": [ 7027 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.f5": { + "hide_name": 1, + "bits": [ 7028 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.f6": { + "hide_name": 1, + "bits": [ 7029 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.f7": { + "hide_name": 1, + "bits": [ 7030 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.g0": { + "hide_name": 1, + "bits": [ 7032 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.g1": { + "hide_name": 1, + "bits": [ 7033 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.g2": { + "hide_name": 1, + "bits": [ 7034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.g3": { + "hide_name": 1, + "bits": [ 7035 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.h0": { + "hide_name": 1, + "bits": [ 7036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40218.h1": { + "hide_name": 1, + "bits": [ 7037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.f0": { + "hide_name": 1, + "bits": [ 7040 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.f1": { + "hide_name": 1, + "bits": [ 7041 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.f2": { + "hide_name": 1, + "bits": [ 7042 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.f3": { + "hide_name": 1, + "bits": [ 7043 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.f4": { + "hide_name": 1, + "bits": [ 7044 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.f5": { + "hide_name": 1, + "bits": [ 7045 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.f6": { + "hide_name": 1, + "bits": [ 7046 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.f7": { + "hide_name": 1, + "bits": [ 7047 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.g0": { + "hide_name": 1, + "bits": [ 7048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.g1": { + "hide_name": 1, + "bits": [ 7049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.g2": { + "hide_name": 1, + "bits": [ 7050 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.g3": { + "hide_name": 1, + "bits": [ 7051 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.h0": { + "hide_name": 1, + "bits": [ 7053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40219.h1": { + "hide_name": 1, + "bits": [ 7054 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.f0": { + "hide_name": 1, + "bits": [ 7056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.f1": { + "hide_name": 1, + "bits": [ 7057 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.f2": { + "hide_name": 1, + "bits": [ 7058 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.f3": { + "hide_name": 1, + "bits": [ 7059 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.f4": { + "hide_name": 1, + "bits": [ 7060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.f5": { + "hide_name": 1, + "bits": [ 7061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.f6": { + "hide_name": 1, + "bits": [ 7062 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.f7": { + "hide_name": 1, + "bits": [ 7063 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.g0": { + "hide_name": 1, + "bits": [ 7064 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.g1": { + "hide_name": 1, + "bits": [ 7065 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.g2": { + "hide_name": 1, + "bits": [ 7066 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.g3": { + "hide_name": 1, + "bits": [ 7067 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.h0": { + "hide_name": 1, + "bits": [ 7069 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40221.h1": { + "hide_name": 1, + "bits": [ 7070 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.f0": { + "hide_name": 1, + "bits": [ 7075 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.f1": { + "hide_name": 1, + "bits": [ 7076 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.f2": { + "hide_name": 1, + "bits": [ 7077 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.f3": { + "hide_name": 1, + "bits": [ 7078 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.f4": { + "hide_name": 1, + "bits": [ 7079 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.f5": { + "hide_name": 1, + "bits": [ 7080 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.f6": { + "hide_name": 1, + "bits": [ 7081 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.f7": { + "hide_name": 1, + "bits": [ 7082 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.g0": { + "hide_name": 1, + "bits": [ 7083 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.g1": { + "hide_name": 1, + "bits": [ 7084 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.g2": { + "hide_name": 1, + "bits": [ 7085 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.g3": { + "hide_name": 1, + "bits": [ 7086 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.h0": { + "hide_name": 1, + "bits": [ 7087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40225.h1": { + "hide_name": 1, + "bits": [ 7088 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.f0": { + "hide_name": 1, + "bits": [ 7093 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.f1": { + "hide_name": 1, + "bits": [ 7094 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.f2": { + "hide_name": 1, + "bits": [ 7095 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.f3": { + "hide_name": 1, + "bits": [ 7096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.g0": { + "hide_name": 1, + "bits": [ 7098 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40227.g1": { + "hide_name": 1, + "bits": [ 7099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.f0": { + "hide_name": 1, + "bits": [ 7102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.f1": { + "hide_name": 1, + "bits": [ 7103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.f2": { + "hide_name": 1, + "bits": [ 7104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.f3": { + "hide_name": 1, + "bits": [ 7105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.f4": { + "hide_name": 1, + "bits": [ 7106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.f5": { + "hide_name": 1, + "bits": [ 7107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.f6": { + "hide_name": 1, + "bits": [ 7108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.f7": { + "hide_name": 1, + "bits": [ 7109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.g0": { + "hide_name": 1, + "bits": [ 7110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.g1": { + "hide_name": 1, + "bits": [ 7111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.g2": { + "hide_name": 1, + "bits": [ 7112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.g3": { + "hide_name": 1, + "bits": [ 7113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.h0": { + "hide_name": 1, + "bits": [ 7115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40228.h1": { + "hide_name": 1, + "bits": [ 7116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.f0": { + "hide_name": 1, + "bits": [ 7118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.f1": { + "hide_name": 1, + "bits": [ 7119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.f2": { + "hide_name": 1, + "bits": [ 7120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.f3": { + "hide_name": 1, + "bits": [ 7121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.f4": { + "hide_name": 1, + "bits": [ 7122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.f5": { + "hide_name": 1, + "bits": [ 7123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.f6": { + "hide_name": 1, + "bits": [ 7124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.f7": { + "hide_name": 1, + "bits": [ 7125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.g0": { + "hide_name": 1, + "bits": [ 7126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.g1": { + "hide_name": 1, + "bits": [ 7127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.g2": { + "hide_name": 1, + "bits": [ 7128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.g3": { + "hide_name": 1, + "bits": [ 7129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.h0": { + "hide_name": 1, + "bits": [ 7131 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40230.h1": { + "hide_name": 1, + "bits": [ 7132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.f0": { + "hide_name": 1, + "bits": [ 7134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.f1": { + "hide_name": 1, + "bits": [ 7135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.f2": { + "hide_name": 1, + "bits": [ 7136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.f3": { + "hide_name": 1, + "bits": [ 7137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.f4": { + "hide_name": 1, + "bits": [ 7138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.f5": { + "hide_name": 1, + "bits": [ 7139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.f6": { + "hide_name": 1, + "bits": [ 7140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.f7": { + "hide_name": 1, + "bits": [ 7141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.g0": { + "hide_name": 1, + "bits": [ 7142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.g1": { + "hide_name": 1, + "bits": [ 7143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.g2": { + "hide_name": 1, + "bits": [ 7144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.g3": { + "hide_name": 1, + "bits": [ 7145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.h0": { + "hide_name": 1, + "bits": [ 7147 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40232.h1": { + "hide_name": 1, + "bits": [ 7148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.f0": { + "hide_name": 1, + "bits": [ 7150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.f1": { + "hide_name": 1, + "bits": [ 7151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.f2": { + "hide_name": 1, + "bits": [ 7152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.f3": { + "hide_name": 1, + "bits": [ 7153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.f4": { + "hide_name": 1, + "bits": [ 7154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.f5": { + "hide_name": 1, + "bits": [ 7155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.f6": { + "hide_name": 1, + "bits": [ 7156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.f7": { + "hide_name": 1, + "bits": [ 7157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.g0": { + "hide_name": 1, + "bits": [ 7158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.g1": { + "hide_name": 1, + "bits": [ 7159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.g2": { + "hide_name": 1, + "bits": [ 7160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.g3": { + "hide_name": 1, + "bits": [ 7161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.h0": { + "hide_name": 1, + "bits": [ 7163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40234.h1": { + "hide_name": 1, + "bits": [ 7164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.f0": { + "hide_name": 1, + "bits": [ 7166 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.f1": { + "hide_name": 1, + "bits": [ 7167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.f2": { + "hide_name": 1, + "bits": [ 7168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.f3": { + "hide_name": 1, + "bits": [ 7169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.f4": { + "hide_name": 1, + "bits": [ 7170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.f5": { + "hide_name": 1, + "bits": [ 7171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.f6": { + "hide_name": 1, + "bits": [ 7172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.f7": { + "hide_name": 1, + "bits": [ 7173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.g0": { + "hide_name": 1, + "bits": [ 7174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.g1": { + "hide_name": 1, + "bits": [ 7175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.g2": { + "hide_name": 1, + "bits": [ 7176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.g3": { + "hide_name": 1, + "bits": [ 7177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.h0": { + "hide_name": 1, + "bits": [ 7179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40236.h1": { + "hide_name": 1, + "bits": [ 7180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.f0": { + "hide_name": 1, + "bits": [ 7182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.f1": { + "hide_name": 1, + "bits": [ 7183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.f2": { + "hide_name": 1, + "bits": [ 7184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.f3": { + "hide_name": 1, + "bits": [ 7185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.f4": { + "hide_name": 1, + "bits": [ 7186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.f5": { + "hide_name": 1, + "bits": [ 7187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.f6": { + "hide_name": 1, + "bits": [ 7188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.f7": { + "hide_name": 1, + "bits": [ 7189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.g0": { + "hide_name": 1, + "bits": [ 7190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.g1": { + "hide_name": 1, + "bits": [ 7191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.g2": { + "hide_name": 1, + "bits": [ 7192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.g3": { + "hide_name": 1, + "bits": [ 7193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.h0": { + "hide_name": 1, + "bits": [ 7195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40238.h1": { + "hide_name": 1, + "bits": [ 7196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.f0": { + "hide_name": 1, + "bits": [ 7201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.f1": { + "hide_name": 1, + "bits": [ 7202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.f2": { + "hide_name": 1, + "bits": [ 7203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.f3": { + "hide_name": 1, + "bits": [ 7204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.f4": { + "hide_name": 1, + "bits": [ 7205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.f5": { + "hide_name": 1, + "bits": [ 7206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.f6": { + "hide_name": 1, + "bits": [ 7207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.f7": { + "hide_name": 1, + "bits": [ 7208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.g0": { + "hide_name": 1, + "bits": [ 7210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.g1": { + "hide_name": 1, + "bits": [ 7211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.g2": { + "hide_name": 1, + "bits": [ 7212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.g3": { + "hide_name": 1, + "bits": [ 7213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.h0": { + "hide_name": 1, + "bits": [ 7214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40240.h1": { + "hide_name": 1, + "bits": [ 7215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.f0": { + "hide_name": 1, + "bits": [ 7218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.f1": { + "hide_name": 1, + "bits": [ 7219 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.f2": { + "hide_name": 1, + "bits": [ 7220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.f3": { + "hide_name": 1, + "bits": [ 7221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.f4": { + "hide_name": 1, + "bits": [ 7222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.f5": { + "hide_name": 1, + "bits": [ 7223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.f6": { + "hide_name": 1, + "bits": [ 7224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.f7": { + "hide_name": 1, + "bits": [ 7225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.g0": { + "hide_name": 1, + "bits": [ 7226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.g1": { + "hide_name": 1, + "bits": [ 7227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.g2": { + "hide_name": 1, + "bits": [ 7228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.g3": { + "hide_name": 1, + "bits": [ 7229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.h0": { + "hide_name": 1, + "bits": [ 7230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40241.h1": { + "hide_name": 1, + "bits": [ 7231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.f0": { + "hide_name": 1, + "bits": [ 7233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.f1": { + "hide_name": 1, + "bits": [ 7234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.f2": { + "hide_name": 1, + "bits": [ 7235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.f3": { + "hide_name": 1, + "bits": [ 7236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.f4": { + "hide_name": 1, + "bits": [ 7237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.f5": { + "hide_name": 1, + "bits": [ 7238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.f6": { + "hide_name": 1, + "bits": [ 7239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.f7": { + "hide_name": 1, + "bits": [ 7240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.g0": { + "hide_name": 1, + "bits": [ 7241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.g1": { + "hide_name": 1, + "bits": [ 7242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.g2": { + "hide_name": 1, + "bits": [ 7243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.g3": { + "hide_name": 1, + "bits": [ 7244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.h0": { + "hide_name": 1, + "bits": [ 7245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40242.h1": { + "hide_name": 1, + "bits": [ 7246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.f0": { + "hide_name": 1, + "bits": [ 7251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.f1": { + "hide_name": 1, + "bits": [ 7252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.f2": { + "hide_name": 1, + "bits": [ 7253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.f3": { + "hide_name": 1, + "bits": [ 7254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.g0": { + "hide_name": 1, + "bits": [ 7256 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40245.g1": { + "hide_name": 1, + "bits": [ 7257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.f0": { + "hide_name": 1, + "bits": [ 7260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.f1": { + "hide_name": 1, + "bits": [ 7261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.f2": { + "hide_name": 1, + "bits": [ 7262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.f3": { + "hide_name": 1, + "bits": [ 7263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.f4": { + "hide_name": 1, + "bits": [ 7264 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.f5": { + "hide_name": 1, + "bits": [ 7265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.f6": { + "hide_name": 1, + "bits": [ 7266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.f7": { + "hide_name": 1, + "bits": [ 7267 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.g0": { + "hide_name": 1, + "bits": [ 7268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.g1": { + "hide_name": 1, + "bits": [ 7269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.g2": { + "hide_name": 1, + "bits": [ 7270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.g3": { + "hide_name": 1, + "bits": [ 7271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.h0": { + "hide_name": 1, + "bits": [ 7273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40246.h1": { + "hide_name": 1, + "bits": [ 7274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.f0": { + "hide_name": 1, + "bits": [ 7276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.f1": { + "hide_name": 1, + "bits": [ 7277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.f2": { + "hide_name": 1, + "bits": [ 7278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.f3": { + "hide_name": 1, + "bits": [ 7279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.f4": { + "hide_name": 1, + "bits": [ 7280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.f5": { + "hide_name": 1, + "bits": [ 7281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.f6": { + "hide_name": 1, + "bits": [ 7282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.f7": { + "hide_name": 1, + "bits": [ 7283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.g0": { + "hide_name": 1, + "bits": [ 7284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.g1": { + "hide_name": 1, + "bits": [ 7285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.g2": { + "hide_name": 1, + "bits": [ 7286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.g3": { + "hide_name": 1, + "bits": [ 7287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.h0": { + "hide_name": 1, + "bits": [ 7288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40248.h1": { + "hide_name": 1, + "bits": [ 7289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.f0": { + "hide_name": 1, + "bits": [ 7291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.f1": { + "hide_name": 1, + "bits": [ 7292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.f2": { + "hide_name": 1, + "bits": [ 7293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.f3": { + "hide_name": 1, + "bits": [ 7294 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.f4": { + "hide_name": 1, + "bits": [ 7295 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.f5": { + "hide_name": 1, + "bits": [ 7296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.f6": { + "hide_name": 1, + "bits": [ 7297 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.f7": { + "hide_name": 1, + "bits": [ 7298 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.g0": { + "hide_name": 1, + "bits": [ 7299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.g1": { + "hide_name": 1, + "bits": [ 7300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.g2": { + "hide_name": 1, + "bits": [ 7301 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.g3": { + "hide_name": 1, + "bits": [ 7302 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.h0": { + "hide_name": 1, + "bits": [ 7304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40249.h1": { + "hide_name": 1, + "bits": [ 7305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.f0": { + "hide_name": 1, + "bits": [ 7307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.f1": { + "hide_name": 1, + "bits": [ 7308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.f2": { + "hide_name": 1, + "bits": [ 7309 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.f3": { + "hide_name": 1, + "bits": [ 7310 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.f4": { + "hide_name": 1, + "bits": [ 7311 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.f5": { + "hide_name": 1, + "bits": [ 7312 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.f6": { + "hide_name": 1, + "bits": [ 7313 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.f7": { + "hide_name": 1, + "bits": [ 7314 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.g0": { + "hide_name": 1, + "bits": [ 7315 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.g1": { + "hide_name": 1, + "bits": [ 7316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.g2": { + "hide_name": 1, + "bits": [ 7317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.g3": { + "hide_name": 1, + "bits": [ 7318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.h0": { + "hide_name": 1, + "bits": [ 7319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40251.h1": { + "hide_name": 1, + "bits": [ 7320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.f0": { + "hide_name": 1, + "bits": [ 7322 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.f1": { + "hide_name": 1, + "bits": [ 7323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.f2": { + "hide_name": 1, + "bits": [ 7324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.f3": { + "hide_name": 1, + "bits": [ 7325 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.f4": { + "hide_name": 1, + "bits": [ 7326 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.f5": { + "hide_name": 1, + "bits": [ 7327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.f6": { + "hide_name": 1, + "bits": [ 7328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.f7": { + "hide_name": 1, + "bits": [ 7329 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.g0": { + "hide_name": 1, + "bits": [ 7330 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.g1": { + "hide_name": 1, + "bits": [ 7331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.g2": { + "hide_name": 1, + "bits": [ 7332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.g3": { + "hide_name": 1, + "bits": [ 7333 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.h0": { + "hide_name": 1, + "bits": [ 7335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40252.h1": { + "hide_name": 1, + "bits": [ 7336 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.f0": { + "hide_name": 1, + "bits": [ 7338 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.f1": { + "hide_name": 1, + "bits": [ 7339 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.f2": { + "hide_name": 1, + "bits": [ 7340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.f3": { + "hide_name": 1, + "bits": [ 7341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.f4": { + "hide_name": 1, + "bits": [ 7342 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.f5": { + "hide_name": 1, + "bits": [ 7343 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.f6": { + "hide_name": 1, + "bits": [ 7344 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.f7": { + "hide_name": 1, + "bits": [ 7345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.g0": { + "hide_name": 1, + "bits": [ 7346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.g1": { + "hide_name": 1, + "bits": [ 7347 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.g2": { + "hide_name": 1, + "bits": [ 7348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.g3": { + "hide_name": 1, + "bits": [ 7349 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.h0": { + "hide_name": 1, + "bits": [ 7351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40254.h1": { + "hide_name": 1, + "bits": [ 7352 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.f0": { + "hide_name": 1, + "bits": [ 7357 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.f1": { + "hide_name": 1, + "bits": [ 7358 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.f2": { + "hide_name": 1, + "bits": [ 7359 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.f3": { + "hide_name": 1, + "bits": [ 7360 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.f4": { + "hide_name": 1, + "bits": [ 7361 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.f5": { + "hide_name": 1, + "bits": [ 7362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.f6": { + "hide_name": 1, + "bits": [ 7363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.f7": { + "hide_name": 1, + "bits": [ 7364 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.g0": { + "hide_name": 1, + "bits": [ 7366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.g1": { + "hide_name": 1, + "bits": [ 7367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.g2": { + "hide_name": 1, + "bits": [ 7368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.g3": { + "hide_name": 1, + "bits": [ 7369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.h0": { + "hide_name": 1, + "bits": [ 7371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40256.h1": { + "hide_name": 1, + "bits": [ 7372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.f0": { + "hide_name": 1, + "bits": [ 7374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.f1": { + "hide_name": 1, + "bits": [ 7375 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.f2": { + "hide_name": 1, + "bits": [ 7376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.f3": { + "hide_name": 1, + "bits": [ 7377 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.f4": { + "hide_name": 1, + "bits": [ 7378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.f5": { + "hide_name": 1, + "bits": [ 7379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.f6": { + "hide_name": 1, + "bits": [ 7380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.f7": { + "hide_name": 1, + "bits": [ 7381 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.g0": { + "hide_name": 1, + "bits": [ 7382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.g1": { + "hide_name": 1, + "bits": [ 7383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.g2": { + "hide_name": 1, + "bits": [ 7384 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.g3": { + "hide_name": 1, + "bits": [ 7385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.h0": { + "hide_name": 1, + "bits": [ 7387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40257.h1": { + "hide_name": 1, + "bits": [ 7388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.f0": { + "hide_name": 1, + "bits": [ 7390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.f1": { + "hide_name": 1, + "bits": [ 7391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.f2": { + "hide_name": 1, + "bits": [ 7392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.f3": { + "hide_name": 1, + "bits": [ 7393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.f4": { + "hide_name": 1, + "bits": [ 7394 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.f5": { + "hide_name": 1, + "bits": [ 7395 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.f6": { + "hide_name": 1, + "bits": [ 7396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.f7": { + "hide_name": 1, + "bits": [ 7397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.g0": { + "hide_name": 1, + "bits": [ 7398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.g1": { + "hide_name": 1, + "bits": [ 7399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.g2": { + "hide_name": 1, + "bits": [ 7400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.g3": { + "hide_name": 1, + "bits": [ 7401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.h0": { + "hide_name": 1, + "bits": [ 7403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40259.h1": { + "hide_name": 1, + "bits": [ 7404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.f0": { + "hide_name": 1, + "bits": [ 7406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.f1": { + "hide_name": 1, + "bits": [ 7407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.f2": { + "hide_name": 1, + "bits": [ 7408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.f3": { + "hide_name": 1, + "bits": [ 7409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.f4": { + "hide_name": 1, + "bits": [ 7410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.f5": { + "hide_name": 1, + "bits": [ 7411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.f6": { + "hide_name": 1, + "bits": [ 7412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.f7": { + "hide_name": 1, + "bits": [ 7413 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.g0": { + "hide_name": 1, + "bits": [ 7414 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.g1": { + "hide_name": 1, + "bits": [ 7415 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.g2": { + "hide_name": 1, + "bits": [ 7416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.g3": { + "hide_name": 1, + "bits": [ 7417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.h0": { + "hide_name": 1, + "bits": [ 7418 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40261.h1": { + "hide_name": 1, + "bits": [ 7419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.f0": { + "hide_name": 1, + "bits": [ 7421 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.f1": { + "hide_name": 1, + "bits": [ 7422 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.f2": { + "hide_name": 1, + "bits": [ 7423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.f3": { + "hide_name": 1, + "bits": [ 7424 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.f4": { + "hide_name": 1, + "bits": [ 7425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.f5": { + "hide_name": 1, + "bits": [ 7426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.f6": { + "hide_name": 1, + "bits": [ 7427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.f7": { + "hide_name": 1, + "bits": [ 7428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.g0": { + "hide_name": 1, + "bits": [ 7429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.g1": { + "hide_name": 1, + "bits": [ 7430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.g2": { + "hide_name": 1, + "bits": [ 7431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.g3": { + "hide_name": 1, + "bits": [ 7432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.h0": { + "hide_name": 1, + "bits": [ 7434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40262.h1": { + "hide_name": 1, + "bits": [ 7435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.f0": { + "hide_name": 1, + "bits": [ 7444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.f1": { + "hide_name": 1, + "bits": [ 7445 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.f2": { + "hide_name": 1, + "bits": [ 7446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.f3": { + "hide_name": 1, + "bits": [ 7447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.g0": { + "hide_name": 1, + "bits": [ 7449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40270.g1": { + "hide_name": 1, + "bits": [ 7450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.f0": { + "hide_name": 1, + "bits": [ 7454 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.f1": { + "hide_name": 1, + "bits": [ 7455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.f2": { + "hide_name": 1, + "bits": [ 7456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.f3": { + "hide_name": 1, + "bits": [ 7457 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.g0": { + "hide_name": 1, + "bits": [ 7458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40271.g1": { + "hide_name": 1, + "bits": [ 7459 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.f0": { + "hide_name": 1, + "bits": [ 7465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.f1": { + "hide_name": 1, + "bits": [ 7466 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.f2": { + "hide_name": 1, + "bits": [ 7467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.f3": { + "hide_name": 1, + "bits": [ 7468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.g0": { + "hide_name": 1, + "bits": [ 7469 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40275.g1": { + "hide_name": 1, + "bits": [ 7470 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.f0": { + "hide_name": 1, + "bits": [ 7473 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.f1": { + "hide_name": 1, + "bits": [ 7474 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.f2": { + "hide_name": 1, + "bits": [ 7475 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.f3": { + "hide_name": 1, + "bits": [ 7476 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.g0": { + "hide_name": 1, + "bits": [ 7477 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40276.g1": { + "hide_name": 1, + "bits": [ 7478 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.f0": { + "hide_name": 1, + "bits": [ 7482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.f1": { + "hide_name": 1, + "bits": [ 7483 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.f2": { + "hide_name": 1, + "bits": [ 7484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.f3": { + "hide_name": 1, + "bits": [ 7485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.g0": { + "hide_name": 1, + "bits": [ 7486 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40277.g1": { + "hide_name": 1, + "bits": [ 7487 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.f0": { + "hide_name": 1, + "bits": [ 7492 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.f1": { + "hide_name": 1, + "bits": [ 7493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.f2": { + "hide_name": 1, + "bits": [ 7494 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.f3": { + "hide_name": 1, + "bits": [ 7495 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.f4": { + "hide_name": 1, + "bits": [ 7496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.f5": { + "hide_name": 1, + "bits": [ 7497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.f6": { + "hide_name": 1, + "bits": [ 7498 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.f7": { + "hide_name": 1, + "bits": [ 7499 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.g0": { + "hide_name": 1, + "bits": [ 7501 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.g1": { + "hide_name": 1, + "bits": [ 7502 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.g2": { + "hide_name": 1, + "bits": [ 7503 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.g3": { + "hide_name": 1, + "bits": [ 7504 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.h0": { + "hide_name": 1, + "bits": [ 7506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40279.h1": { + "hide_name": 1, + "bits": [ 7507 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.f0": { + "hide_name": 1, + "bits": [ 7514 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.f1": { + "hide_name": 1, + "bits": [ 7515 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.f2": { + "hide_name": 1, + "bits": [ 7516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.f3": { + "hide_name": 1, + "bits": [ 7517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.g0": { + "hide_name": 1, + "bits": [ 7518 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40283.g1": { + "hide_name": 1, + "bits": [ 7519 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.f0": { + "hide_name": 1, + "bits": [ 7522 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.f1": { + "hide_name": 1, + "bits": [ 7523 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.f2": { + "hide_name": 1, + "bits": [ 7524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.f3": { + "hide_name": 1, + "bits": [ 7525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.g0": { + "hide_name": 1, + "bits": [ 7526 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40284.g1": { + "hide_name": 1, + "bits": [ 7527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.f0": { + "hide_name": 1, + "bits": [ 7530 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.f1": { + "hide_name": 1, + "bits": [ 7531 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.f2": { + "hide_name": 1, + "bits": [ 7532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.f3": { + "hide_name": 1, + "bits": [ 7533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.g0": { + "hide_name": 1, + "bits": [ 7534 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40285.g1": { + "hide_name": 1, + "bits": [ 7535 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.f0": { + "hide_name": 1, + "bits": [ 7539 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.f1": { + "hide_name": 1, + "bits": [ 7540 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.f2": { + "hide_name": 1, + "bits": [ 7541 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.f3": { + "hide_name": 1, + "bits": [ 7542 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.g0": { + "hide_name": 1, + "bits": [ 7543 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40286.g1": { + "hide_name": 1, + "bits": [ 7544 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.f0": { + "hide_name": 1, + "bits": [ 7549 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.f1": { + "hide_name": 1, + "bits": [ 7550 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.f2": { + "hide_name": 1, + "bits": [ 7551 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.f3": { + "hide_name": 1, + "bits": [ 7552 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.g0": { + "hide_name": 1, + "bits": [ 7553 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40288.g1": { + "hide_name": 1, + "bits": [ 7554 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.f0": { + "hide_name": 1, + "bits": [ 7559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.f1": { + "hide_name": 1, + "bits": [ 7560 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.f2": { + "hide_name": 1, + "bits": [ 7561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.f3": { + "hide_name": 1, + "bits": [ 7562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.g0": { + "hide_name": 1, + "bits": [ 7563 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40289.g1": { + "hide_name": 1, + "bits": [ 7564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.f0": { + "hide_name": 1, + "bits": [ 7568 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.f1": { + "hide_name": 1, + "bits": [ 7569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.f2": { + "hide_name": 1, + "bits": [ 7570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.f3": { + "hide_name": 1, + "bits": [ 7571 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.g0": { + "hide_name": 1, + "bits": [ 7572 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40291.g1": { + "hide_name": 1, + "bits": [ 7573 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40301.f0": { + "hide_name": 1, + "bits": [ 7583 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40301.f1": { + "hide_name": 1, + "bits": [ 7584 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40302.f0": { + "hide_name": 1, + "bits": [ 7588 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40302.f1": { + "hide_name": 1, + "bits": [ 7589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40304.f0": { + "hide_name": 1, + "bits": [ 7593 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40304.f1": { + "hide_name": 1, + "bits": [ 7594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.f0": { + "hide_name": 1, + "bits": [ 7600 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.f1": { + "hide_name": 1, + "bits": [ 7601 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.f2": { + "hide_name": 1, + "bits": [ 7602 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.f3": { + "hide_name": 1, + "bits": [ 7603 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.f4": { + "hide_name": 1, + "bits": [ 7604 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.f5": { + "hide_name": 1, + "bits": [ 7605 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.f6": { + "hide_name": 1, + "bits": [ 7606 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.f7": { + "hide_name": 1, + "bits": [ 7607 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.g0": { + "hide_name": 1, + "bits": [ 7608 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.g1": { + "hide_name": 1, + "bits": [ 7609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.g2": { + "hide_name": 1, + "bits": [ 7610 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.g3": { + "hide_name": 1, + "bits": [ 7611 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.h0": { + "hide_name": 1, + "bits": [ 7613 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40307.h1": { + "hide_name": 1, + "bits": [ 7614 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.f0": { + "hide_name": 1, + "bits": [ 7616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.f1": { + "hide_name": 1, + "bits": [ 7617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.f2": { + "hide_name": 1, + "bits": [ 7618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.f3": { + "hide_name": 1, + "bits": [ 7619 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.f4": { + "hide_name": 1, + "bits": [ 7620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.f5": { + "hide_name": 1, + "bits": [ 7621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.f6": { + "hide_name": 1, + "bits": [ 7622 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.f7": { + "hide_name": 1, + "bits": [ 7623 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.g0": { + "hide_name": 1, + "bits": [ 7624 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.g1": { + "hide_name": 1, + "bits": [ 7625 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.g2": { + "hide_name": 1, + "bits": [ 7626 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.g3": { + "hide_name": 1, + "bits": [ 7627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.h0": { + "hide_name": 1, + "bits": [ 7629 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40309.h1": { + "hide_name": 1, + "bits": [ 7630 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.f0": { + "hide_name": 1, + "bits": [ 7632 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.f1": { + "hide_name": 1, + "bits": [ 7633 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.f2": { + "hide_name": 1, + "bits": [ 7634 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.f3": { + "hide_name": 1, + "bits": [ 7635 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.f4": { + "hide_name": 1, + "bits": [ 7636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.f5": { + "hide_name": 1, + "bits": [ 7637 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.f6": { + "hide_name": 1, + "bits": [ 7638 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.f7": { + "hide_name": 1, + "bits": [ 7639 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.g0": { + "hide_name": 1, + "bits": [ 7640 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.g1": { + "hide_name": 1, + "bits": [ 7641 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.g2": { + "hide_name": 1, + "bits": [ 7642 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.g3": { + "hide_name": 1, + "bits": [ 7643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.h0": { + "hide_name": 1, + "bits": [ 7644 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40311.h1": { + "hide_name": 1, + "bits": [ 7645 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.f0": { + "hide_name": 1, + "bits": [ 7647 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.f1": { + "hide_name": 1, + "bits": [ 7648 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.f2": { + "hide_name": 1, + "bits": [ 7649 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.f3": { + "hide_name": 1, + "bits": [ 7650 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.f4": { + "hide_name": 1, + "bits": [ 7651 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.f5": { + "hide_name": 1, + "bits": [ 7652 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.f6": { + "hide_name": 1, + "bits": [ 7653 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.f7": { + "hide_name": 1, + "bits": [ 7654 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.g0": { + "hide_name": 1, + "bits": [ 7655 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.g1": { + "hide_name": 1, + "bits": [ 7656 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.g2": { + "hide_name": 1, + "bits": [ 7657 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.g3": { + "hide_name": 1, + "bits": [ 7658 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.h0": { + "hide_name": 1, + "bits": [ 7659 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40312.h1": { + "hide_name": 1, + "bits": [ 7660 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40313.f0": { + "hide_name": 1, + "bits": [ 7665 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40313.f1": { + "hide_name": 1, + "bits": [ 7666 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40316.f0": { + "hide_name": 1, + "bits": [ 7670 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40316.f1": { + "hide_name": 1, + "bits": [ 7671 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.f0": { + "hide_name": 1, + "bits": [ 7676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.f1": { + "hide_name": 1, + "bits": [ 7677 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.f2": { + "hide_name": 1, + "bits": [ 7678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.f3": { + "hide_name": 1, + "bits": [ 7679 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.f4": { + "hide_name": 1, + "bits": [ 7680 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.f5": { + "hide_name": 1, + "bits": [ 7681 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.f6": { + "hide_name": 1, + "bits": [ 7682 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.f7": { + "hide_name": 1, + "bits": [ 7683 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.g0": { + "hide_name": 1, + "bits": [ 7685 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.g1": { + "hide_name": 1, + "bits": [ 7686 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.g2": { + "hide_name": 1, + "bits": [ 7687 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.g3": { + "hide_name": 1, + "bits": [ 7688 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.h0": { + "hide_name": 1, + "bits": [ 7690 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40318.h1": { + "hide_name": 1, + "bits": [ 7691 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40319.f0": { + "hide_name": 1, + "bits": [ 7696 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40319.f1": { + "hide_name": 1, + "bits": [ 7697 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40321.f0": { + "hide_name": 1, + "bits": [ 7701 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40321.f1": { + "hide_name": 1, + "bits": [ 7702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40323.f0": { + "hide_name": 1, + "bits": [ 7707 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40323.f1": { + "hide_name": 1, + "bits": [ 7708 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40326.f0": { + "hide_name": 1, + "bits": [ 7713 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40326.f1": { + "hide_name": 1, + "bits": [ 7714 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.f0": { + "hide_name": 1, + "bits": [ 7720 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.f1": { + "hide_name": 1, + "bits": [ 7721 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.f2": { + "hide_name": 1, + "bits": [ 7722 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.f3": { + "hide_name": 1, + "bits": [ 7723 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.f4": { + "hide_name": 1, + "bits": [ 7724 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.f5": { + "hide_name": 1, + "bits": [ 7725 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.f6": { + "hide_name": 1, + "bits": [ 7726 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.f7": { + "hide_name": 1, + "bits": [ 7727 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.g0": { + "hide_name": 1, + "bits": [ 7728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.g1": { + "hide_name": 1, + "bits": [ 7729 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.g2": { + "hide_name": 1, + "bits": [ 7730 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.g3": { + "hide_name": 1, + "bits": [ 7731 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.h0": { + "hide_name": 1, + "bits": [ 7733 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40330.h1": { + "hide_name": 1, + "bits": [ 7734 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.f0": { + "hide_name": 1, + "bits": [ 7736 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.f1": { + "hide_name": 1, + "bits": [ 7737 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.f2": { + "hide_name": 1, + "bits": [ 7738 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.f3": { + "hide_name": 1, + "bits": [ 7739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.f4": { + "hide_name": 1, + "bits": [ 7740 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.f5": { + "hide_name": 1, + "bits": [ 7741 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.f6": { + "hide_name": 1, + "bits": [ 7742 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.f7": { + "hide_name": 1, + "bits": [ 7743 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.g0": { + "hide_name": 1, + "bits": [ 7744 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.g1": { + "hide_name": 1, + "bits": [ 7745 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.g2": { + "hide_name": 1, + "bits": [ 7746 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.g3": { + "hide_name": 1, + "bits": [ 7747 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.h0": { + "hide_name": 1, + "bits": [ 7749 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40332.h1": { + "hide_name": 1, + "bits": [ 7750 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.f0": { + "hide_name": 1, + "bits": [ 7752 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.f1": { + "hide_name": 1, + "bits": [ 7753 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.f2": { + "hide_name": 1, + "bits": [ 7754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.f3": { + "hide_name": 1, + "bits": [ 7755 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.f4": { + "hide_name": 1, + "bits": [ 7756 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.f5": { + "hide_name": 1, + "bits": [ 7757 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.f6": { + "hide_name": 1, + "bits": [ 7758 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.f7": { + "hide_name": 1, + "bits": [ 7759 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.g0": { + "hide_name": 1, + "bits": [ 7760 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.g1": { + "hide_name": 1, + "bits": [ 7761 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.g2": { + "hide_name": 1, + "bits": [ 7762 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.g3": { + "hide_name": 1, + "bits": [ 7763 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.h0": { + "hide_name": 1, + "bits": [ 7765 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40334.h1": { + "hide_name": 1, + "bits": [ 7766 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.f0": { + "hide_name": 1, + "bits": [ 7768 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.f1": { + "hide_name": 1, + "bits": [ 7769 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.f2": { + "hide_name": 1, + "bits": [ 7770 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.f3": { + "hide_name": 1, + "bits": [ 7771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.f4": { + "hide_name": 1, + "bits": [ 7772 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.f5": { + "hide_name": 1, + "bits": [ 7773 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.f6": { + "hide_name": 1, + "bits": [ 7774 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.f7": { + "hide_name": 1, + "bits": [ 7775 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.g0": { + "hide_name": 1, + "bits": [ 7776 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.g1": { + "hide_name": 1, + "bits": [ 7777 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.g2": { + "hide_name": 1, + "bits": [ 7778 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.g3": { + "hide_name": 1, + "bits": [ 7779 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.h0": { + "hide_name": 1, + "bits": [ 7780 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40336.h1": { + "hide_name": 1, + "bits": [ 7781 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40337.f0": { + "hide_name": 1, + "bits": [ 7785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40337.f1": { + "hide_name": 1, + "bits": [ 7786 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40339.f0": { + "hide_name": 1, + "bits": [ 7791 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40339.f1": { + "hide_name": 1, + "bits": [ 7792 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40343.f0": { + "hide_name": 1, + "bits": [ 7794 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40343.f1": { + "hide_name": 1, + "bits": [ 7795 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.f0": { + "hide_name": 1, + "bits": [ 7799 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.f1": { + "hide_name": 1, + "bits": [ 7800 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.f2": { + "hide_name": 1, + "bits": [ 7801 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.f3": { + "hide_name": 1, + "bits": [ 7802 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.f4": { + "hide_name": 1, + "bits": [ 7803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.f5": { + "hide_name": 1, + "bits": [ 7804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.f6": { + "hide_name": 1, + "bits": [ 7805 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.f7": { + "hide_name": 1, + "bits": [ 7806 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.g0": { + "hide_name": 1, + "bits": [ 7808 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.g1": { + "hide_name": 1, + "bits": [ 7809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.g2": { + "hide_name": 1, + "bits": [ 7810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.g3": { + "hide_name": 1, + "bits": [ 7811 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.h0": { + "hide_name": 1, + "bits": [ 7812 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40345.h1": { + "hide_name": 1, + "bits": [ 7813 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40347.f0": { + "hide_name": 1, + "bits": [ 7816 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40347.f1": { + "hide_name": 1, + "bits": [ 7817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40349.f0": { + "hide_name": 1, + "bits": [ 7820 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40349.f1": { + "hide_name": 1, + "bits": [ 7821 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.f0": { + "hide_name": 1, + "bits": [ 7824 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.f1": { + "hide_name": 1, + "bits": [ 7825 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.f2": { + "hide_name": 1, + "bits": [ 7826 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.f3": { + "hide_name": 1, + "bits": [ 7827 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.f4": { + "hide_name": 1, + "bits": [ 7828 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.f5": { + "hide_name": 1, + "bits": [ 7829 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.f6": { + "hide_name": 1, + "bits": [ 7830 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.f7": { + "hide_name": 1, + "bits": [ 7831 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.g0": { + "hide_name": 1, + "bits": [ 7833 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.g1": { + "hide_name": 1, + "bits": [ 7834 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.g2": { + "hide_name": 1, + "bits": [ 7835 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.g3": { + "hide_name": 1, + "bits": [ 7836 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.h0": { + "hide_name": 1, + "bits": [ 7837 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40351.h1": { + "hide_name": 1, + "bits": [ 7838 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40353.f0": { + "hide_name": 1, + "bits": [ 7841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40353.f1": { + "hide_name": 1, + "bits": [ 7842 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.f0": { + "hide_name": 1, + "bits": [ 7847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.f1": { + "hide_name": 1, + "bits": [ 7848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.f2": { + "hide_name": 1, + "bits": [ 7849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.f3": { + "hide_name": 1, + "bits": [ 7850 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.f4": { + "hide_name": 1, + "bits": [ 7851 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.f5": { + "hide_name": 1, + "bits": [ 7852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.f6": { + "hide_name": 1, + "bits": [ 7853 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.f7": { + "hide_name": 1, + "bits": [ 7854 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.g0": { + "hide_name": 1, + "bits": [ 7856 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.g1": { + "hide_name": 1, + "bits": [ 7857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.g2": { + "hide_name": 1, + "bits": [ 7858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.g3": { + "hide_name": 1, + "bits": [ 7859 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.h0": { + "hide_name": 1, + "bits": [ 7861 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40355.h1": { + "hide_name": 1, + "bits": [ 7862 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40356.f0": { + "hide_name": 1, + "bits": [ 7865 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40356.f1": { + "hide_name": 1, + "bits": [ 7866 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40357.f0": { + "hide_name": 1, + "bits": [ 7869 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40357.f1": { + "hide_name": 1, + "bits": [ 7870 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40359.f0": { + "hide_name": 1, + "bits": [ 7873 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40359.f1": { + "hide_name": 1, + "bits": [ 7874 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40361.f0": { + "hide_name": 1, + "bits": [ 7877 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40361.f1": { + "hide_name": 1, + "bits": [ 7878 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40363.f0": { + "hide_name": 1, + "bits": [ 7880 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40363.f1": { + "hide_name": 1, + "bits": [ 7881 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.f0": { + "hide_name": 1, + "bits": [ 7884 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.f1": { + "hide_name": 1, + "bits": [ 7885 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.f2": { + "hide_name": 1, + "bits": [ 7886 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.f3": { + "hide_name": 1, + "bits": [ 7887 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.f4": { + "hide_name": 1, + "bits": [ 7888 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.f5": { + "hide_name": 1, + "bits": [ 7889 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.f6": { + "hide_name": 1, + "bits": [ 7890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.f7": { + "hide_name": 1, + "bits": [ 7891 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.g0": { + "hide_name": 1, + "bits": [ 7892 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.g1": { + "hide_name": 1, + "bits": [ 7893 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.g2": { + "hide_name": 1, + "bits": [ 7894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.g3": { + "hide_name": 1, + "bits": [ 7895 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.h0": { + "hide_name": 1, + "bits": [ 7896 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40364.h1": { + "hide_name": 1, + "bits": [ 7897 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40365.f0": { + "hide_name": 1, + "bits": [ 7900 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40365.f1": { + "hide_name": 1, + "bits": [ 7901 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.f0": { + "hide_name": 1, + "bits": [ 7905 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.f1": { + "hide_name": 1, + "bits": [ 7906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.f2": { + "hide_name": 1, + "bits": [ 7907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.f3": { + "hide_name": 1, + "bits": [ 7908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.f4": { + "hide_name": 1, + "bits": [ 7909 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.f5": { + "hide_name": 1, + "bits": [ 7910 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.f6": { + "hide_name": 1, + "bits": [ 7911 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.f7": { + "hide_name": 1, + "bits": [ 7912 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.g0": { + "hide_name": 1, + "bits": [ 7914 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.g1": { + "hide_name": 1, + "bits": [ 7915 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.g2": { + "hide_name": 1, + "bits": [ 7916 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.g3": { + "hide_name": 1, + "bits": [ 7917 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.h0": { + "hide_name": 1, + "bits": [ 7919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40367.h1": { + "hide_name": 1, + "bits": [ 7920 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40368.f0": { + "hide_name": 1, + "bits": [ 7924 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40368.f1": { + "hide_name": 1, + "bits": [ 7925 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40370.f0": { + "hide_name": 1, + "bits": [ 7928 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40370.f1": { + "hide_name": 1, + "bits": [ 7929 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40372.f0": { + "hide_name": 1, + "bits": [ 7932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40372.f1": { + "hide_name": 1, + "bits": [ 7933 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40374.f0": { + "hide_name": 1, + "bits": [ 7936 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40374.f1": { + "hide_name": 1, + "bits": [ 7937 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40376.f0": { + "hide_name": 1, + "bits": [ 7940 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40376.f1": { + "hide_name": 1, + "bits": [ 7941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40378.f0": { + "hide_name": 1, + "bits": [ 7943 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40378.f1": { + "hide_name": 1, + "bits": [ 7944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40379.f0": { + "hide_name": 1, + "bits": [ 7947 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40379.f1": { + "hide_name": 1, + "bits": [ 7948 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.f0": { + "hide_name": 1, + "bits": [ 7953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.f1": { + "hide_name": 1, + "bits": [ 7954 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.f2": { + "hide_name": 1, + "bits": [ 7955 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.f3": { + "hide_name": 1, + "bits": [ 7956 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.f4": { + "hide_name": 1, + "bits": [ 7957 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.f5": { + "hide_name": 1, + "bits": [ 7958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.f6": { + "hide_name": 1, + "bits": [ 7959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.f7": { + "hide_name": 1, + "bits": [ 7960 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.g0": { + "hide_name": 1, + "bits": [ 7962 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.g1": { + "hide_name": 1, + "bits": [ 7963 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.g2": { + "hide_name": 1, + "bits": [ 7964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.g3": { + "hide_name": 1, + "bits": [ 7965 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.h0": { + "hide_name": 1, + "bits": [ 7967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40381.h1": { + "hide_name": 1, + "bits": [ 7968 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40382.f0": { + "hide_name": 1, + "bits": [ 7972 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40382.f1": { + "hide_name": 1, + "bits": [ 7973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40384.f0": { + "hide_name": 1, + "bits": [ 7976 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40384.f1": { + "hide_name": 1, + "bits": [ 7977 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40386.f0": { + "hide_name": 1, + "bits": [ 7979 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40386.f1": { + "hide_name": 1, + "bits": [ 7980 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40387.f0": { + "hide_name": 1, + "bits": [ 7983 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40387.f1": { + "hide_name": 1, + "bits": [ 7984 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40389.f0": { + "hide_name": 1, + "bits": [ 7987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40389.f1": { + "hide_name": 1, + "bits": [ 7988 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40391.f0": { + "hide_name": 1, + "bits": [ 7990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40391.f1": { + "hide_name": 1, + "bits": [ 7991 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.f0": { + "hide_name": 1, + "bits": [ 7995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.f1": { + "hide_name": 1, + "bits": [ 7996 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.f2": { + "hide_name": 1, + "bits": [ 7997 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.f3": { + "hide_name": 1, + "bits": [ 7998 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.f4": { + "hide_name": 1, + "bits": [ 7999 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.f5": { + "hide_name": 1, + "bits": [ 8000 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.f6": { + "hide_name": 1, + "bits": [ 8001 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.f7": { + "hide_name": 1, + "bits": [ 8002 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.g0": { + "hide_name": 1, + "bits": [ 8004 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.g1": { + "hide_name": 1, + "bits": [ 8005 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.g2": { + "hide_name": 1, + "bits": [ 8006 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.g3": { + "hide_name": 1, + "bits": [ 8007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.h0": { + "hide_name": 1, + "bits": [ 8009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40393.h1": { + "hide_name": 1, + "bits": [ 8010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40396.f0": { + "hide_name": 1, + "bits": [ 8013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40396.f1": { + "hide_name": 1, + "bits": [ 8014 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40397.f0": { + "hide_name": 1, + "bits": [ 8017 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40397.f1": { + "hide_name": 1, + "bits": [ 8018 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40398.f0": { + "hide_name": 1, + "bits": [ 8021 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40398.f1": { + "hide_name": 1, + "bits": [ 8022 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.f0": { + "hide_name": 1, + "bits": [ 8027 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.f1": { + "hide_name": 1, + "bits": [ 8028 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.f2": { + "hide_name": 1, + "bits": [ 8029 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.f3": { + "hide_name": 1, + "bits": [ 8030 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.f4": { + "hide_name": 1, + "bits": [ 8031 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.f5": { + "hide_name": 1, + "bits": [ 8032 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.f6": { + "hide_name": 1, + "bits": [ 8033 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.f7": { + "hide_name": 1, + "bits": [ 8034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.g0": { + "hide_name": 1, + "bits": [ 8036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.g1": { + "hide_name": 1, + "bits": [ 8037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.g2": { + "hide_name": 1, + "bits": [ 8038 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.g3": { + "hide_name": 1, + "bits": [ 8039 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.h0": { + "hide_name": 1, + "bits": [ 8041 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40399.h1": { + "hide_name": 1, + "bits": [ 8042 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.f0": { + "hide_name": 1, + "bits": [ 8044 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.f1": { + "hide_name": 1, + "bits": [ 8045 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.f2": { + "hide_name": 1, + "bits": [ 8046 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.f3": { + "hide_name": 1, + "bits": [ 8047 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.f4": { + "hide_name": 1, + "bits": [ 8048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.f5": { + "hide_name": 1, + "bits": [ 8049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.f6": { + "hide_name": 1, + "bits": [ 8050 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.f7": { + "hide_name": 1, + "bits": [ 8051 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.g0": { + "hide_name": 1, + "bits": [ 8052 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.g1": { + "hide_name": 1, + "bits": [ 8053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.g2": { + "hide_name": 1, + "bits": [ 8054 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.g3": { + "hide_name": 1, + "bits": [ 8055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.h0": { + "hide_name": 1, + "bits": [ 8056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40400.h1": { + "hide_name": 1, + "bits": [ 8057 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.f0": { + "hide_name": 1, + "bits": [ 8059 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.f1": { + "hide_name": 1, + "bits": [ 8060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.f2": { + "hide_name": 1, + "bits": [ 8061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.f3": { + "hide_name": 1, + "bits": [ 8062 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.f4": { + "hide_name": 1, + "bits": [ 8063 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.f5": { + "hide_name": 1, + "bits": [ 8064 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.f6": { + "hide_name": 1, + "bits": [ 8065 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.f7": { + "hide_name": 1, + "bits": [ 8066 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.g0": { + "hide_name": 1, + "bits": [ 8067 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.g1": { + "hide_name": 1, + "bits": [ 8068 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.g2": { + "hide_name": 1, + "bits": [ 8069 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.g3": { + "hide_name": 1, + "bits": [ 8070 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.h0": { + "hide_name": 1, + "bits": [ 8072 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40401.h1": { + "hide_name": 1, + "bits": [ 8073 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40402.f0": { + "hide_name": 1, + "bits": [ 8074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40402.f1": { + "hide_name": 1, + "bits": [ 8075 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40403.f0": { + "hide_name": 1, + "bits": [ 8076 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40403.f1": { + "hide_name": 1, + "bits": [ 8077 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40404.f0": { + "hide_name": 1, + "bits": [ 8078 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40404.f1": { + "hide_name": 1, + "bits": [ 8079 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40405.f0": { + "hide_name": 1, + "bits": [ 8082 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40405.f1": { + "hide_name": 1, + "bits": [ 8083 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40406.f0": { + "hide_name": 1, + "bits": [ 8086 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40406.f1": { + "hide_name": 1, + "bits": [ 8087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.f0": { + "hide_name": 1, + "bits": [ 8092 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.f1": { + "hide_name": 1, + "bits": [ 8093 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.f2": { + "hide_name": 1, + "bits": [ 8094 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.f3": { + "hide_name": 1, + "bits": [ 8095 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.f4": { + "hide_name": 1, + "bits": [ 8096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.f5": { + "hide_name": 1, + "bits": [ 8097 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.f6": { + "hide_name": 1, + "bits": [ 8098 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.f7": { + "hide_name": 1, + "bits": [ 8099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.g0": { + "hide_name": 1, + "bits": [ 8101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.g1": { + "hide_name": 1, + "bits": [ 8102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.g2": { + "hide_name": 1, + "bits": [ 8103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.g3": { + "hide_name": 1, + "bits": [ 8104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.h0": { + "hide_name": 1, + "bits": [ 8106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40407.h1": { + "hide_name": 1, + "bits": [ 8107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40408.f0": { + "hide_name": 1, + "bits": [ 8111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40408.f1": { + "hide_name": 1, + "bits": [ 8112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40409.f0": { + "hide_name": 1, + "bits": [ 8117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40409.f1": { + "hide_name": 1, + "bits": [ 8118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40410.f0": { + "hide_name": 1, + "bits": [ 8119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40410.f1": { + "hide_name": 1, + "bits": [ 8120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40411.f0": { + "hide_name": 1, + "bits": [ 8121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40411.f1": { + "hide_name": 1, + "bits": [ 8122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40412.f0": { + "hide_name": 1, + "bits": [ 8125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40412.f1": { + "hide_name": 1, + "bits": [ 8126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40413.f0": { + "hide_name": 1, + "bits": [ 8129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40413.f1": { + "hide_name": 1, + "bits": [ 8130 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.f0": { + "hide_name": 1, + "bits": [ 8135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.f1": { + "hide_name": 1, + "bits": [ 8136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.f2": { + "hide_name": 1, + "bits": [ 8137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.f3": { + "hide_name": 1, + "bits": [ 8138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.f4": { + "hide_name": 1, + "bits": [ 8139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.f5": { + "hide_name": 1, + "bits": [ 8140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.f6": { + "hide_name": 1, + "bits": [ 8141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.f7": { + "hide_name": 1, + "bits": [ 8142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.g0": { + "hide_name": 1, + "bits": [ 8143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.g1": { + "hide_name": 1, + "bits": [ 8144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.g2": { + "hide_name": 1, + "bits": [ 8145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.g3": { + "hide_name": 1, + "bits": [ 8146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.h0": { + "hide_name": 1, + "bits": [ 8148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40414.h1": { + "hide_name": 1, + "bits": [ 8149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.f0": { + "hide_name": 1, + "bits": [ 8152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.f1": { + "hide_name": 1, + "bits": [ 8153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.f2": { + "hide_name": 1, + "bits": [ 8154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.f3": { + "hide_name": 1, + "bits": [ 8155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.f4": { + "hide_name": 1, + "bits": [ 8156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.f5": { + "hide_name": 1, + "bits": [ 8157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.f6": { + "hide_name": 1, + "bits": [ 8158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.f7": { + "hide_name": 1, + "bits": [ 8159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.g0": { + "hide_name": 1, + "bits": [ 8160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.g1": { + "hide_name": 1, + "bits": [ 8161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.g2": { + "hide_name": 1, + "bits": [ 8162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.g3": { + "hide_name": 1, + "bits": [ 8163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.h0": { + "hide_name": 1, + "bits": [ 8164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40415.h1": { + "hide_name": 1, + "bits": [ 8165 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.f0": { + "hide_name": 1, + "bits": [ 8167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.f1": { + "hide_name": 1, + "bits": [ 8168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.f2": { + "hide_name": 1, + "bits": [ 8169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.f3": { + "hide_name": 1, + "bits": [ 8170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.f4": { + "hide_name": 1, + "bits": [ 8171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.f5": { + "hide_name": 1, + "bits": [ 8172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.f6": { + "hide_name": 1, + "bits": [ 8173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.f7": { + "hide_name": 1, + "bits": [ 8174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.g0": { + "hide_name": 1, + "bits": [ 8175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.g1": { + "hide_name": 1, + "bits": [ 8176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.g2": { + "hide_name": 1, + "bits": [ 8177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.g3": { + "hide_name": 1, + "bits": [ 8178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.h0": { + "hide_name": 1, + "bits": [ 8179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40416.h1": { + "hide_name": 1, + "bits": [ 8180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40417.f0": { + "hide_name": 1, + "bits": [ 8181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40417.f1": { + "hide_name": 1, + "bits": [ 8182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40418.f0": { + "hide_name": 1, + "bits": [ 8183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40418.f1": { + "hide_name": 1, + "bits": [ 8184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40419.f0": { + "hide_name": 1, + "bits": [ 8187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40419.f1": { + "hide_name": 1, + "bits": [ 8188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40420.f0": { + "hide_name": 1, + "bits": [ 8192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40420.f1": { + "hide_name": 1, + "bits": [ 8193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40421.f0": { + "hide_name": 1, + "bits": [ 8194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40421.f1": { + "hide_name": 1, + "bits": [ 8195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40423.f0": { + "hide_name": 1, + "bits": [ 8198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40423.f1": { + "hide_name": 1, + "bits": [ 8199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40425.f0": { + "hide_name": 1, + "bits": [ 8202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40425.f1": { + "hide_name": 1, + "bits": [ 8203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40427.f0": { + "hide_name": 1, + "bits": [ 8206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40427.f1": { + "hide_name": 1, + "bits": [ 8207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40429.f0": { + "hide_name": 1, + "bits": [ 8210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40429.f1": { + "hide_name": 1, + "bits": [ 8211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40431.f0": { + "hide_name": 1, + "bits": [ 8214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40431.f1": { + "hide_name": 1, + "bits": [ 8215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.f0": { + "hide_name": 1, + "bits": [ 8220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.f1": { + "hide_name": 1, + "bits": [ 8221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.f2": { + "hide_name": 1, + "bits": [ 8222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.f3": { + "hide_name": 1, + "bits": [ 8223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.f4": { + "hide_name": 1, + "bits": [ 8224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.f5": { + "hide_name": 1, + "bits": [ 8225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.f6": { + "hide_name": 1, + "bits": [ 8226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.f7": { + "hide_name": 1, + "bits": [ 8227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.g0": { + "hide_name": 1, + "bits": [ 8229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.g1": { + "hide_name": 1, + "bits": [ 8230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.g2": { + "hide_name": 1, + "bits": [ 8231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.g3": { + "hide_name": 1, + "bits": [ 8232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.h0": { + "hide_name": 1, + "bits": [ 8234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40433.h1": { + "hide_name": 1, + "bits": [ 8235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40434.f0": { + "hide_name": 1, + "bits": [ 8239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40434.f1": { + "hide_name": 1, + "bits": [ 8240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40436.f0": { + "hide_name": 1, + "bits": [ 8243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40436.f1": { + "hide_name": 1, + "bits": [ 8244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40438.f0": { + "hide_name": 1, + "bits": [ 8246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40438.f1": { + "hide_name": 1, + "bits": [ 8247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40439.f0": { + "hide_name": 1, + "bits": [ 8250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40439.f1": { + "hide_name": 1, + "bits": [ 8251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40441.f0": { + "hide_name": 1, + "bits": [ 8254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40441.f1": { + "hide_name": 1, + "bits": [ 8255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40443.f0": { + "hide_name": 1, + "bits": [ 8258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40443.f1": { + "hide_name": 1, + "bits": [ 8259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40445.f0": { + "hide_name": 1, + "bits": [ 8262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40445.f1": { + "hide_name": 1, + "bits": [ 8263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.f0": { + "hide_name": 1, + "bits": [ 8268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.f1": { + "hide_name": 1, + "bits": [ 8269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.f2": { + "hide_name": 1, + "bits": [ 8270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.f3": { + "hide_name": 1, + "bits": [ 8271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.f4": { + "hide_name": 1, + "bits": [ 8272 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.f5": { + "hide_name": 1, + "bits": [ 8273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.f6": { + "hide_name": 1, + "bits": [ 8274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.f7": { + "hide_name": 1, + "bits": [ 8275 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.g0": { + "hide_name": 1, + "bits": [ 8277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.g1": { + "hide_name": 1, + "bits": [ 8278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.g2": { + "hide_name": 1, + "bits": [ 8279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.g3": { + "hide_name": 1, + "bits": [ 8280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.h0": { + "hide_name": 1, + "bits": [ 8282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40447.h1": { + "hide_name": 1, + "bits": [ 8283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40448.f0": { + "hide_name": 1, + "bits": [ 8287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40448.f1": { + "hide_name": 1, + "bits": [ 8288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40450.f0": { + "hide_name": 1, + "bits": [ 8291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40450.f1": { + "hide_name": 1, + "bits": [ 8292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40452.f0": { + "hide_name": 1, + "bits": [ 8295 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40452.f1": { + "hide_name": 1, + "bits": [ 8296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40454.f0": { + "hide_name": 1, + "bits": [ 8299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40454.f1": { + "hide_name": 1, + "bits": [ 8300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40456.f0": { + "hide_name": 1, + "bits": [ 8303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40456.f1": { + "hide_name": 1, + "bits": [ 8304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40458.f0": { + "hide_name": 1, + "bits": [ 8307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40458.f1": { + "hide_name": 1, + "bits": [ 8308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40460.f0": { + "hide_name": 1, + "bits": [ 8310 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40460.f1": { + "hide_name": 1, + "bits": [ 8311 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.f0": { + "hide_name": 1, + "bits": [ 8316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.f1": { + "hide_name": 1, + "bits": [ 8317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.f2": { + "hide_name": 1, + "bits": [ 8318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.f3": { + "hide_name": 1, + "bits": [ 8319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.f4": { + "hide_name": 1, + "bits": [ 8320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.f5": { + "hide_name": 1, + "bits": [ 8321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.f6": { + "hide_name": 1, + "bits": [ 8322 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.f7": { + "hide_name": 1, + "bits": [ 8323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.g0": { + "hide_name": 1, + "bits": [ 8325 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.g1": { + "hide_name": 1, + "bits": [ 8326 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.g2": { + "hide_name": 1, + "bits": [ 8327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.g3": { + "hide_name": 1, + "bits": [ 8328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.h0": { + "hide_name": 1, + "bits": [ 8330 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40461.h1": { + "hide_name": 1, + "bits": [ 8331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40462.f0": { + "hide_name": 1, + "bits": [ 8335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40462.f1": { + "hide_name": 1, + "bits": [ 8336 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.f0": { + "hide_name": 1, + "bits": [ 8339 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.f1": { + "hide_name": 1, + "bits": [ 8340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.f2": { + "hide_name": 1, + "bits": [ 8341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.f3": { + "hide_name": 1, + "bits": [ 8342 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.f4": { + "hide_name": 1, + "bits": [ 8343 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.f5": { + "hide_name": 1, + "bits": [ 8344 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.f6": { + "hide_name": 1, + "bits": [ 8345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.f7": { + "hide_name": 1, + "bits": [ 8346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.g0": { + "hide_name": 1, + "bits": [ 8348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.g1": { + "hide_name": 1, + "bits": [ 8349 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.g2": { + "hide_name": 1, + "bits": [ 8350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.g3": { + "hide_name": 1, + "bits": [ 8351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.h0": { + "hide_name": 1, + "bits": [ 8353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40464.h1": { + "hide_name": 1, + "bits": [ 8354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40467.f0": { + "hide_name": 1, + "bits": [ 8357 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40467.f1": { + "hide_name": 1, + "bits": [ 8358 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.f0": { + "hide_name": 1, + "bits": [ 8361 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.f1": { + "hide_name": 1, + "bits": [ 8362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.f2": { + "hide_name": 1, + "bits": [ 8363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.f3": { + "hide_name": 1, + "bits": [ 8364 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.f4": { + "hide_name": 1, + "bits": [ 8365 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.f5": { + "hide_name": 1, + "bits": [ 8366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.f6": { + "hide_name": 1, + "bits": [ 8367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.f7": { + "hide_name": 1, + "bits": [ 8368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.g0": { + "hide_name": 1, + "bits": [ 8370 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.g1": { + "hide_name": 1, + "bits": [ 8371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.g2": { + "hide_name": 1, + "bits": [ 8372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.g3": { + "hide_name": 1, + "bits": [ 8373 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.h0": { + "hide_name": 1, + "bits": [ 8374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40469.h1": { + "hide_name": 1, + "bits": [ 8375 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.f0": { + "hide_name": 1, + "bits": [ 8378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.f1": { + "hide_name": 1, + "bits": [ 8379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.f2": { + "hide_name": 1, + "bits": [ 8380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.f3": { + "hide_name": 1, + "bits": [ 8381 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.f4": { + "hide_name": 1, + "bits": [ 8382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.f5": { + "hide_name": 1, + "bits": [ 8383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.f6": { + "hide_name": 1, + "bits": [ 8384 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.f7": { + "hide_name": 1, + "bits": [ 8385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.g0": { + "hide_name": 1, + "bits": [ 8387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.g1": { + "hide_name": 1, + "bits": [ 8388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.g2": { + "hide_name": 1, + "bits": [ 8389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.g3": { + "hide_name": 1, + "bits": [ 8390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.h0": { + "hide_name": 1, + "bits": [ 8392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40471.h1": { + "hide_name": 1, + "bits": [ 8393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.f0": { + "hide_name": 1, + "bits": [ 8396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.f1": { + "hide_name": 1, + "bits": [ 8397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.f2": { + "hide_name": 1, + "bits": [ 8398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.f3": { + "hide_name": 1, + "bits": [ 8399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.f4": { + "hide_name": 1, + "bits": [ 8400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.f5": { + "hide_name": 1, + "bits": [ 8401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.f6": { + "hide_name": 1, + "bits": [ 8402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.f7": { + "hide_name": 1, + "bits": [ 8403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.g0": { + "hide_name": 1, + "bits": [ 8405 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.g1": { + "hide_name": 1, + "bits": [ 8406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.g2": { + "hide_name": 1, + "bits": [ 8407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.g3": { + "hide_name": 1, + "bits": [ 8408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.h0": { + "hide_name": 1, + "bits": [ 8410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40474.h1": { + "hide_name": 1, + "bits": [ 8411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.f0": { + "hide_name": 1, + "bits": [ 8414 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.f1": { + "hide_name": 1, + "bits": [ 8415 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.f2": { + "hide_name": 1, + "bits": [ 8416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.f3": { + "hide_name": 1, + "bits": [ 8417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.f4": { + "hide_name": 1, + "bits": [ 8418 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.f5": { + "hide_name": 1, + "bits": [ 8419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.f6": { + "hide_name": 1, + "bits": [ 8420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.f7": { + "hide_name": 1, + "bits": [ 8421 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.g0": { + "hide_name": 1, + "bits": [ 8423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.g1": { + "hide_name": 1, + "bits": [ 8424 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.g2": { + "hide_name": 1, + "bits": [ 8425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.g3": { + "hide_name": 1, + "bits": [ 8426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.h0": { + "hide_name": 1, + "bits": [ 8427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40477.h1": { + "hide_name": 1, + "bits": [ 8428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40479.f0": { + "hide_name": 1, + "bits": [ 8429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40479.f1": { + "hide_name": 1, + "bits": [ 8430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40480.f0": { + "hide_name": 1, + "bits": [ 8431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40480.f1": { + "hide_name": 1, + "bits": [ 8432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.f0": { + "hide_name": 1, + "bits": [ 8437 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.f1": { + "hide_name": 1, + "bits": [ 8438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.f2": { + "hide_name": 1, + "bits": [ 8439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.f3": { + "hide_name": 1, + "bits": [ 8440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.g0": { + "hide_name": 1, + "bits": [ 8442 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40481.g1": { + "hide_name": 1, + "bits": [ 8443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.f0": { + "hide_name": 1, + "bits": [ 8446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.f1": { + "hide_name": 1, + "bits": [ 8447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.f2": { + "hide_name": 1, + "bits": [ 8448 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.f3": { + "hide_name": 1, + "bits": [ 8449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.f4": { + "hide_name": 1, + "bits": [ 8450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.f5": { + "hide_name": 1, + "bits": [ 8451 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.f6": { + "hide_name": 1, + "bits": [ 8452 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.f7": { + "hide_name": 1, + "bits": [ 8453 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.g0": { + "hide_name": 1, + "bits": [ 8454 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.g1": { + "hide_name": 1, + "bits": [ 8455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.g2": { + "hide_name": 1, + "bits": [ 8456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.g3": { + "hide_name": 1, + "bits": [ 8457 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.h0": { + "hide_name": 1, + "bits": [ 8458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40482.h1": { + "hide_name": 1, + "bits": [ 8459 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.f0": { + "hide_name": 1, + "bits": [ 8461 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.f1": { + "hide_name": 1, + "bits": [ 8462 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.f2": { + "hide_name": 1, + "bits": [ 8463 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.f3": { + "hide_name": 1, + "bits": [ 8464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.f4": { + "hide_name": 1, + "bits": [ 8465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.f5": { + "hide_name": 1, + "bits": [ 8466 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.f6": { + "hide_name": 1, + "bits": [ 8467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.f7": { + "hide_name": 1, + "bits": [ 8468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.g0": { + "hide_name": 1, + "bits": [ 8469 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.g1": { + "hide_name": 1, + "bits": [ 8470 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.g2": { + "hide_name": 1, + "bits": [ 8471 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.g3": { + "hide_name": 1, + "bits": [ 8472 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.h0": { + "hide_name": 1, + "bits": [ 8473 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40483.h1": { + "hide_name": 1, + "bits": [ 8474 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.f0": { + "hide_name": 1, + "bits": [ 8476 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.f1": { + "hide_name": 1, + "bits": [ 8477 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.f2": { + "hide_name": 1, + "bits": [ 8478 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.f3": { + "hide_name": 1, + "bits": [ 8479 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.f4": { + "hide_name": 1, + "bits": [ 8480 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.f5": { + "hide_name": 1, + "bits": [ 8481 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.f6": { + "hide_name": 1, + "bits": [ 8482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.f7": { + "hide_name": 1, + "bits": [ 8483 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.g0": { + "hide_name": 1, + "bits": [ 8484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.g1": { + "hide_name": 1, + "bits": [ 8485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.g2": { + "hide_name": 1, + "bits": [ 8486 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.g3": { + "hide_name": 1, + "bits": [ 8487 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.h0": { + "hide_name": 1, + "bits": [ 8488 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40484.h1": { + "hide_name": 1, + "bits": [ 8489 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.f0": { + "hide_name": 1, + "bits": [ 8491 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.f1": { + "hide_name": 1, + "bits": [ 8492 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.f2": { + "hide_name": 1, + "bits": [ 8493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.f3": { + "hide_name": 1, + "bits": [ 8494 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.f4": { + "hide_name": 1, + "bits": [ 8495 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.f5": { + "hide_name": 1, + "bits": [ 8496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.f6": { + "hide_name": 1, + "bits": [ 8497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.f7": { + "hide_name": 1, + "bits": [ 8498 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.g0": { + "hide_name": 1, + "bits": [ 8499 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.g1": { + "hide_name": 1, + "bits": [ 8500 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.g2": { + "hide_name": 1, + "bits": [ 8501 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.g3": { + "hide_name": 1, + "bits": [ 8502 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.h0": { + "hide_name": 1, + "bits": [ 8503 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40485.h1": { + "hide_name": 1, + "bits": [ 8504 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.f0": { + "hide_name": 1, + "bits": [ 8506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.f1": { + "hide_name": 1, + "bits": [ 8507 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.f2": { + "hide_name": 1, + "bits": [ 8508 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.f3": { + "hide_name": 1, + "bits": [ 8509 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.f4": { + "hide_name": 1, + "bits": [ 8510 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.f5": { + "hide_name": 1, + "bits": [ 8511 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.f6": { + "hide_name": 1, + "bits": [ 8512 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.f7": { + "hide_name": 1, + "bits": [ 8513 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.g0": { + "hide_name": 1, + "bits": [ 8514 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.g1": { + "hide_name": 1, + "bits": [ 8515 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.g2": { + "hide_name": 1, + "bits": [ 8516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.g3": { + "hide_name": 1, + "bits": [ 8517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.h0": { + "hide_name": 1, + "bits": [ 8518 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40486.h1": { + "hide_name": 1, + "bits": [ 8519 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.f0": { + "hide_name": 1, + "bits": [ 8521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.f1": { + "hide_name": 1, + "bits": [ 8522 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.f2": { + "hide_name": 1, + "bits": [ 8523 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.f3": { + "hide_name": 1, + "bits": [ 8524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.f4": { + "hide_name": 1, + "bits": [ 8525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.f5": { + "hide_name": 1, + "bits": [ 8526 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.f6": { + "hide_name": 1, + "bits": [ 8527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.f7": { + "hide_name": 1, + "bits": [ 8528 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.g0": { + "hide_name": 1, + "bits": [ 8529 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.g1": { + "hide_name": 1, + "bits": [ 8530 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.g2": { + "hide_name": 1, + "bits": [ 8531 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.g3": { + "hide_name": 1, + "bits": [ 8532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.h0": { + "hide_name": 1, + "bits": [ 8533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40487.h1": { + "hide_name": 1, + "bits": [ 8534 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40488.f0": { + "hide_name": 1, + "bits": [ 8539 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40488.f1": { + "hide_name": 1, + "bits": [ 8540 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.f0": { + "hide_name": 1, + "bits": [ 8543 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.f1": { + "hide_name": 1, + "bits": [ 8544 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.f2": { + "hide_name": 1, + "bits": [ 8545 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.f3": { + "hide_name": 1, + "bits": [ 8546 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.f4": { + "hide_name": 1, + "bits": [ 8547 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.f5": { + "hide_name": 1, + "bits": [ 8548 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.f6": { + "hide_name": 1, + "bits": [ 8549 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.f7": { + "hide_name": 1, + "bits": [ 8550 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.g0": { + "hide_name": 1, + "bits": [ 8551 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.g1": { + "hide_name": 1, + "bits": [ 8552 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.g2": { + "hide_name": 1, + "bits": [ 8553 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.g3": { + "hide_name": 1, + "bits": [ 8554 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.h0": { + "hide_name": 1, + "bits": [ 8556 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40489.h1": { + "hide_name": 1, + "bits": [ 8557 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40490.f0": { + "hide_name": 1, + "bits": [ 8558 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40490.f1": { + "hide_name": 1, + "bits": [ 8559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.f0": { + "hide_name": 1, + "bits": [ 8561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.f1": { + "hide_name": 1, + "bits": [ 8562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.f2": { + "hide_name": 1, + "bits": [ 8563 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.f3": { + "hide_name": 1, + "bits": [ 8564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.f4": { + "hide_name": 1, + "bits": [ 8565 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.f5": { + "hide_name": 1, + "bits": [ 8566 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.f6": { + "hide_name": 1, + "bits": [ 8567 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.f7": { + "hide_name": 1, + "bits": [ 8568 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.g0": { + "hide_name": 1, + "bits": [ 8569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.g1": { + "hide_name": 1, + "bits": [ 8570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.g2": { + "hide_name": 1, + "bits": [ 8571 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.g3": { + "hide_name": 1, + "bits": [ 8572 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.h0": { + "hide_name": 1, + "bits": [ 8573 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40491.h1": { + "hide_name": 1, + "bits": [ 8574 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.f0": { + "hide_name": 1, + "bits": [ 8576 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.f1": { + "hide_name": 1, + "bits": [ 8577 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.f2": { + "hide_name": 1, + "bits": [ 8578 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.f3": { + "hide_name": 1, + "bits": [ 8579 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.f4": { + "hide_name": 1, + "bits": [ 8580 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.f5": { + "hide_name": 1, + "bits": [ 8581 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.f6": { + "hide_name": 1, + "bits": [ 8582 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.f7": { + "hide_name": 1, + "bits": [ 8583 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.g0": { + "hide_name": 1, + "bits": [ 8584 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.g1": { + "hide_name": 1, + "bits": [ 8585 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.g2": { + "hide_name": 1, + "bits": [ 8586 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.g3": { + "hide_name": 1, + "bits": [ 8587 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.h0": { + "hide_name": 1, + "bits": [ 8589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40492.h1": { + "hide_name": 1, + "bits": [ 8590 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40493.f0": { + "hide_name": 1, + "bits": [ 8591 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40493.f1": { + "hide_name": 1, + "bits": [ 8592 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.f0": { + "hide_name": 1, + "bits": [ 8593 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.f1": { + "hide_name": 1, + "bits": [ 8594 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.f2": { + "hide_name": 1, + "bits": [ 8595 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.f3": { + "hide_name": 1, + "bits": [ 8596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.f4": { + "hide_name": 1, + "bits": [ 8597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.f5": { + "hide_name": 1, + "bits": [ 8598 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.f6": { + "hide_name": 1, + "bits": [ 8599 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.f7": { + "hide_name": 1, + "bits": [ 8600 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.g0": { + "hide_name": 1, + "bits": [ 8601 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.g1": { + "hide_name": 1, + "bits": [ 8602 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.g2": { + "hide_name": 1, + "bits": [ 8603 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.g3": { + "hide_name": 1, + "bits": [ 8604 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.h0": { + "hide_name": 1, + "bits": [ 8605 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40494.h1": { + "hide_name": 1, + "bits": [ 8606 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.f0": { + "hide_name": 1, + "bits": [ 8609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.f1": { + "hide_name": 1, + "bits": [ 8610 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.f2": { + "hide_name": 1, + "bits": [ 8611 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.f3": { + "hide_name": 1, + "bits": [ 8612 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.f4": { + "hide_name": 1, + "bits": [ 8613 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.f5": { + "hide_name": 1, + "bits": [ 8614 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.f6": { + "hide_name": 1, + "bits": [ 8615 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.f7": { + "hide_name": 1, + "bits": [ 8616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.g0": { + "hide_name": 1, + "bits": [ 8617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.g1": { + "hide_name": 1, + "bits": [ 8618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.g2": { + "hide_name": 1, + "bits": [ 8619 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.g3": { + "hide_name": 1, + "bits": [ 8620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.h0": { + "hide_name": 1, + "bits": [ 8621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40495.h1": { + "hide_name": 1, + "bits": [ 8622 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.f0": { + "hide_name": 1, + "bits": [ 8627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.f1": { + "hide_name": 1, + "bits": [ 8628 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.f2": { + "hide_name": 1, + "bits": [ 8629 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.f3": { + "hide_name": 1, + "bits": [ 8630 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.f4": { + "hide_name": 1, + "bits": [ 8631 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.f5": { + "hide_name": 1, + "bits": [ 8632 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.f6": { + "hide_name": 1, + "bits": [ 8633 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.f7": { + "hide_name": 1, + "bits": [ 8634 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.g0": { + "hide_name": 1, + "bits": [ 8636 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.g1": { + "hide_name": 1, + "bits": [ 8637 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.g2": { + "hide_name": 1, + "bits": [ 8638 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.g3": { + "hide_name": 1, + "bits": [ 8639 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.h0": { + "hide_name": 1, + "bits": [ 8641 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40496.h1": { + "hide_name": 1, + "bits": [ 8642 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.f0": { + "hide_name": 1, + "bits": [ 8645 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.f1": { + "hide_name": 1, + "bits": [ 8646 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.f2": { + "hide_name": 1, + "bits": [ 8647 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.f3": { + "hide_name": 1, + "bits": [ 8648 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.f4": { + "hide_name": 1, + "bits": [ 8649 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.f5": { + "hide_name": 1, + "bits": [ 8650 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.f6": { + "hide_name": 1, + "bits": [ 8651 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.f7": { + "hide_name": 1, + "bits": [ 8652 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.g0": { + "hide_name": 1, + "bits": [ 8653 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.g1": { + "hide_name": 1, + "bits": [ 8654 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.g2": { + "hide_name": 1, + "bits": [ 8655 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.g3": { + "hide_name": 1, + "bits": [ 8656 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.h0": { + "hide_name": 1, + "bits": [ 8657 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40497.h1": { + "hide_name": 1, + "bits": [ 8658 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.f0": { + "hide_name": 1, + "bits": [ 8660 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.f1": { + "hide_name": 1, + "bits": [ 8661 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.f2": { + "hide_name": 1, + "bits": [ 8662 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.f3": { + "hide_name": 1, + "bits": [ 8663 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.f4": { + "hide_name": 1, + "bits": [ 8664 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.f5": { + "hide_name": 1, + "bits": [ 8665 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.f6": { + "hide_name": 1, + "bits": [ 8666 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.f7": { + "hide_name": 1, + "bits": [ 8667 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.g0": { + "hide_name": 1, + "bits": [ 8668 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.g1": { + "hide_name": 1, + "bits": [ 8669 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.g2": { + "hide_name": 1, + "bits": [ 8670 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.g3": { + "hide_name": 1, + "bits": [ 8671 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.h0": { + "hide_name": 1, + "bits": [ 8673 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40498.h1": { + "hide_name": 1, + "bits": [ 8674 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40499.f0": { + "hide_name": 1, + "bits": [ 8675 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40499.f1": { + "hide_name": 1, + "bits": [ 8676 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.f0": { + "hide_name": 1, + "bits": [ 8678 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.f1": { + "hide_name": 1, + "bits": [ 8679 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.f2": { + "hide_name": 1, + "bits": [ 8680 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.f3": { + "hide_name": 1, + "bits": [ 8681 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.f4": { + "hide_name": 1, + "bits": [ 8682 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.f5": { + "hide_name": 1, + "bits": [ 8683 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.f6": { + "hide_name": 1, + "bits": [ 8684 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.f7": { + "hide_name": 1, + "bits": [ 8685 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.g0": { + "hide_name": 1, + "bits": [ 8686 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.g1": { + "hide_name": 1, + "bits": [ 8687 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.g2": { + "hide_name": 1, + "bits": [ 8688 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.g3": { + "hide_name": 1, + "bits": [ 8689 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.h0": { + "hide_name": 1, + "bits": [ 8691 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40500.h1": { + "hide_name": 1, + "bits": [ 8692 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40501.f0": { + "hide_name": 1, + "bits": [ 8693 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40501.f1": { + "hide_name": 1, + "bits": [ 8694 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.f0": { + "hide_name": 1, + "bits": [ 8696 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.f1": { + "hide_name": 1, + "bits": [ 8697 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.f2": { + "hide_name": 1, + "bits": [ 8698 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.f3": { + "hide_name": 1, + "bits": [ 8699 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.f4": { + "hide_name": 1, + "bits": [ 8700 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.f5": { + "hide_name": 1, + "bits": [ 8701 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.f6": { + "hide_name": 1, + "bits": [ 8702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.f7": { + "hide_name": 1, + "bits": [ 8703 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.g0": { + "hide_name": 1, + "bits": [ 8704 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.g1": { + "hide_name": 1, + "bits": [ 8705 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.g2": { + "hide_name": 1, + "bits": [ 8706 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.g3": { + "hide_name": 1, + "bits": [ 8707 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.h0": { + "hide_name": 1, + "bits": [ 8708 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40502.h1": { + "hide_name": 1, + "bits": [ 8709 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.f0": { + "hide_name": 1, + "bits": [ 8711 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.f1": { + "hide_name": 1, + "bits": [ 8712 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.f2": { + "hide_name": 1, + "bits": [ 8713 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.f3": { + "hide_name": 1, + "bits": [ 8714 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.f4": { + "hide_name": 1, + "bits": [ 8715 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.f5": { + "hide_name": 1, + "bits": [ 8716 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.f6": { + "hide_name": 1, + "bits": [ 8717 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.f7": { + "hide_name": 1, + "bits": [ 8718 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.g0": { + "hide_name": 1, + "bits": [ 8719 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.g1": { + "hide_name": 1, + "bits": [ 8720 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.g2": { + "hide_name": 1, + "bits": [ 8721 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.g3": { + "hide_name": 1, + "bits": [ 8722 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.h0": { + "hide_name": 1, + "bits": [ 8723 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40503.h1": { + "hide_name": 1, + "bits": [ 8724 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.f0": { + "hide_name": 1, + "bits": [ 8726 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.f1": { + "hide_name": 1, + "bits": [ 8727 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.f2": { + "hide_name": 1, + "bits": [ 8728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.f3": { + "hide_name": 1, + "bits": [ 8729 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.f4": { + "hide_name": 1, + "bits": [ 8730 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.f5": { + "hide_name": 1, + "bits": [ 8731 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.f6": { + "hide_name": 1, + "bits": [ 8732 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.f7": { + "hide_name": 1, + "bits": [ 8733 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.g0": { + "hide_name": 1, + "bits": [ 8734 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.g1": { + "hide_name": 1, + "bits": [ 8735 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.g2": { + "hide_name": 1, + "bits": [ 8736 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.g3": { + "hide_name": 1, + "bits": [ 8737 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.h0": { + "hide_name": 1, + "bits": [ 8738 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40504.h1": { + "hide_name": 1, + "bits": [ 8739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.f0": { + "hide_name": 1, + "bits": [ 8741 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.f1": { + "hide_name": 1, + "bits": [ 8742 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.f2": { + "hide_name": 1, + "bits": [ 8743 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.f3": { + "hide_name": 1, + "bits": [ 8744 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.f4": { + "hide_name": 1, + "bits": [ 8745 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.f5": { + "hide_name": 1, + "bits": [ 8746 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.f6": { + "hide_name": 1, + "bits": [ 8747 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.f7": { + "hide_name": 1, + "bits": [ 8748 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.g0": { + "hide_name": 1, + "bits": [ 8749 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.g1": { + "hide_name": 1, + "bits": [ 8750 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.g2": { + "hide_name": 1, + "bits": [ 8751 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.g3": { + "hide_name": 1, + "bits": [ 8752 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.h0": { + "hide_name": 1, + "bits": [ 8753 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40505.h1": { + "hide_name": 1, + "bits": [ 8754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.f0": { + "hide_name": 1, + "bits": [ 8759 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.f1": { + "hide_name": 1, + "bits": [ 8760 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.f2": { + "hide_name": 1, + "bits": [ 8761 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.f3": { + "hide_name": 1, + "bits": [ 8762 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.g0": { + "hide_name": 1, + "bits": [ 8764 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40506.g1": { + "hide_name": 1, + "bits": [ 8765 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.f0": { + "hide_name": 1, + "bits": [ 8768 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.f1": { + "hide_name": 1, + "bits": [ 8769 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.f2": { + "hide_name": 1, + "bits": [ 8770 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.f3": { + "hide_name": 1, + "bits": [ 8771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.f4": { + "hide_name": 1, + "bits": [ 8772 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.f5": { + "hide_name": 1, + "bits": [ 8773 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.f6": { + "hide_name": 1, + "bits": [ 8774 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.f7": { + "hide_name": 1, + "bits": [ 8775 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.g0": { + "hide_name": 1, + "bits": [ 8776 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.g1": { + "hide_name": 1, + "bits": [ 8777 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.g2": { + "hide_name": 1, + "bits": [ 8778 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.g3": { + "hide_name": 1, + "bits": [ 8779 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.h0": { + "hide_name": 1, + "bits": [ 8780 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40507.h1": { + "hide_name": 1, + "bits": [ 8781 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.f0": { + "hide_name": 1, + "bits": [ 8783 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.f1": { + "hide_name": 1, + "bits": [ 8784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.f2": { + "hide_name": 1, + "bits": [ 8785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.f3": { + "hide_name": 1, + "bits": [ 8786 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.f4": { + "hide_name": 1, + "bits": [ 8787 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.f5": { + "hide_name": 1, + "bits": [ 8788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.f6": { + "hide_name": 1, + "bits": [ 8789 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.f7": { + "hide_name": 1, + "bits": [ 8790 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.g0": { + "hide_name": 1, + "bits": [ 8791 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.g1": { + "hide_name": 1, + "bits": [ 8792 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.g2": { + "hide_name": 1, + "bits": [ 8793 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.g3": { + "hide_name": 1, + "bits": [ 8794 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.h0": { + "hide_name": 1, + "bits": [ 8795 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40508.h1": { + "hide_name": 1, + "bits": [ 8796 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.f0": { + "hide_name": 1, + "bits": [ 8798 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.f1": { + "hide_name": 1, + "bits": [ 8799 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.f2": { + "hide_name": 1, + "bits": [ 8800 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.f3": { + "hide_name": 1, + "bits": [ 8801 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.f4": { + "hide_name": 1, + "bits": [ 8802 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.f5": { + "hide_name": 1, + "bits": [ 8803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.f6": { + "hide_name": 1, + "bits": [ 8804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.f7": { + "hide_name": 1, + "bits": [ 8805 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.g0": { + "hide_name": 1, + "bits": [ 8806 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.g1": { + "hide_name": 1, + "bits": [ 8807 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.g2": { + "hide_name": 1, + "bits": [ 8808 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.g3": { + "hide_name": 1, + "bits": [ 8809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.h0": { + "hide_name": 1, + "bits": [ 8810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40509.h1": { + "hide_name": 1, + "bits": [ 8811 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.f0": { + "hide_name": 1, + "bits": [ 8813 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.f1": { + "hide_name": 1, + "bits": [ 8814 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.f2": { + "hide_name": 1, + "bits": [ 8815 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.f3": { + "hide_name": 1, + "bits": [ 8816 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.f4": { + "hide_name": 1, + "bits": [ 8817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.f5": { + "hide_name": 1, + "bits": [ 8818 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.f6": { + "hide_name": 1, + "bits": [ 8819 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.f7": { + "hide_name": 1, + "bits": [ 8820 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.g0": { + "hide_name": 1, + "bits": [ 8821 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.g1": { + "hide_name": 1, + "bits": [ 8822 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.g2": { + "hide_name": 1, + "bits": [ 8823 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.g3": { + "hide_name": 1, + "bits": [ 8824 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.h0": { + "hide_name": 1, + "bits": [ 8825 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40510.h1": { + "hide_name": 1, + "bits": [ 8826 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.f0": { + "hide_name": 1, + "bits": [ 8828 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.f1": { + "hide_name": 1, + "bits": [ 8829 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.f2": { + "hide_name": 1, + "bits": [ 8830 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.f3": { + "hide_name": 1, + "bits": [ 8831 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.f4": { + "hide_name": 1, + "bits": [ 8832 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.f5": { + "hide_name": 1, + "bits": [ 8833 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.f6": { + "hide_name": 1, + "bits": [ 8834 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.f7": { + "hide_name": 1, + "bits": [ 8835 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.g0": { + "hide_name": 1, + "bits": [ 8836 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.g1": { + "hide_name": 1, + "bits": [ 8837 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.g2": { + "hide_name": 1, + "bits": [ 8838 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.g3": { + "hide_name": 1, + "bits": [ 8839 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.h0": { + "hide_name": 1, + "bits": [ 8840 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40511.h1": { + "hide_name": 1, + "bits": [ 8841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.f0": { + "hide_name": 1, + "bits": [ 8843 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.f1": { + "hide_name": 1, + "bits": [ 8844 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.f2": { + "hide_name": 1, + "bits": [ 8845 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.f3": { + "hide_name": 1, + "bits": [ 8846 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.f4": { + "hide_name": 1, + "bits": [ 8847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.f5": { + "hide_name": 1, + "bits": [ 8848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.f6": { + "hide_name": 1, + "bits": [ 8849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.f7": { + "hide_name": 1, + "bits": [ 8850 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.g0": { + "hide_name": 1, + "bits": [ 8851 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.g1": { + "hide_name": 1, + "bits": [ 8852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.g2": { + "hide_name": 1, + "bits": [ 8853 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.g3": { + "hide_name": 1, + "bits": [ 8854 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.h0": { + "hide_name": 1, + "bits": [ 8855 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40512.h1": { + "hide_name": 1, + "bits": [ 8856 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.f0": { + "hide_name": 1, + "bits": [ 8861 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.f1": { + "hide_name": 1, + "bits": [ 8862 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.f2": { + "hide_name": 1, + "bits": [ 8863 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.f3": { + "hide_name": 1, + "bits": [ 8864 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.g0": { + "hide_name": 1, + "bits": [ 8866 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40513.g1": { + "hide_name": 1, + "bits": [ 8867 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.f0": { + "hide_name": 1, + "bits": [ 8870 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.f1": { + "hide_name": 1, + "bits": [ 8871 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.f2": { + "hide_name": 1, + "bits": [ 8872 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.f3": { + "hide_name": 1, + "bits": [ 8873 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.f4": { + "hide_name": 1, + "bits": [ 8874 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.f5": { + "hide_name": 1, + "bits": [ 8875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.f6": { + "hide_name": 1, + "bits": [ 8876 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.f7": { + "hide_name": 1, + "bits": [ 8877 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.g0": { + "hide_name": 1, + "bits": [ 8878 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.g1": { + "hide_name": 1, + "bits": [ 8879 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.g2": { + "hide_name": 1, + "bits": [ 8880 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.g3": { + "hide_name": 1, + "bits": [ 8881 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.h0": { + "hide_name": 1, + "bits": [ 8883 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40514.h1": { + "hide_name": 1, + "bits": [ 8884 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40515.f0": { + "hide_name": 1, + "bits": [ 8885 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40515.f1": { + "hide_name": 1, + "bits": [ 8886 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.f0": { + "hide_name": 1, + "bits": [ 8888 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.f1": { + "hide_name": 1, + "bits": [ 8889 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.f2": { + "hide_name": 1, + "bits": [ 8890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.f3": { + "hide_name": 1, + "bits": [ 8891 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.f4": { + "hide_name": 1, + "bits": [ 8892 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.f5": { + "hide_name": 1, + "bits": [ 8893 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.f6": { + "hide_name": 1, + "bits": [ 8894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.f7": { + "hide_name": 1, + "bits": [ 8895 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.g0": { + "hide_name": 1, + "bits": [ 8896 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.g1": { + "hide_name": 1, + "bits": [ 8897 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.g2": { + "hide_name": 1, + "bits": [ 8898 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.g3": { + "hide_name": 1, + "bits": [ 8899 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.h0": { + "hide_name": 1, + "bits": [ 8900 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40516.h1": { + "hide_name": 1, + "bits": [ 8901 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.f0": { + "hide_name": 1, + "bits": [ 8903 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.f1": { + "hide_name": 1, + "bits": [ 8904 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.f2": { + "hide_name": 1, + "bits": [ 8905 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.f3": { + "hide_name": 1, + "bits": [ 8906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.f4": { + "hide_name": 1, + "bits": [ 8907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.f5": { + "hide_name": 1, + "bits": [ 8908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.f6": { + "hide_name": 1, + "bits": [ 8909 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.f7": { + "hide_name": 1, + "bits": [ 8910 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.g0": { + "hide_name": 1, + "bits": [ 8911 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.g1": { + "hide_name": 1, + "bits": [ 8912 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.g2": { + "hide_name": 1, + "bits": [ 8913 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.g3": { + "hide_name": 1, + "bits": [ 8914 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.h0": { + "hide_name": 1, + "bits": [ 8916 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40517.h1": { + "hide_name": 1, + "bits": [ 8917 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40518.f0": { + "hide_name": 1, + "bits": [ 8918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40518.f1": { + "hide_name": 1, + "bits": [ 8919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.f0": { + "hide_name": 1, + "bits": [ 8921 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.f1": { + "hide_name": 1, + "bits": [ 8922 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.f2": { + "hide_name": 1, + "bits": [ 8923 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.f3": { + "hide_name": 1, + "bits": [ 8924 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.f4": { + "hide_name": 1, + "bits": [ 8925 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.f5": { + "hide_name": 1, + "bits": [ 8926 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.f6": { + "hide_name": 1, + "bits": [ 8927 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.f7": { + "hide_name": 1, + "bits": [ 8928 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.g0": { + "hide_name": 1, + "bits": [ 8929 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.g1": { + "hide_name": 1, + "bits": [ 8930 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.g2": { + "hide_name": 1, + "bits": [ 8931 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.g3": { + "hide_name": 1, + "bits": [ 8932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.h0": { + "hide_name": 1, + "bits": [ 8933 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40519.h1": { + "hide_name": 1, + "bits": [ 8934 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.f0": { + "hide_name": 1, + "bits": [ 8936 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.f1": { + "hide_name": 1, + "bits": [ 8937 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.f2": { + "hide_name": 1, + "bits": [ 8938 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.f3": { + "hide_name": 1, + "bits": [ 8939 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.f4": { + "hide_name": 1, + "bits": [ 8940 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.f5": { + "hide_name": 1, + "bits": [ 8941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.f6": { + "hide_name": 1, + "bits": [ 8942 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.f7": { + "hide_name": 1, + "bits": [ 8943 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.g0": { + "hide_name": 1, + "bits": [ 8944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.g1": { + "hide_name": 1, + "bits": [ 8945 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.g2": { + "hide_name": 1, + "bits": [ 8946 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.g3": { + "hide_name": 1, + "bits": [ 8947 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.h0": { + "hide_name": 1, + "bits": [ 8949 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40520.h1": { + "hide_name": 1, + "bits": [ 8950 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40521.f0": { + "hide_name": 1, + "bits": [ 8951 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40521.f1": { + "hide_name": 1, + "bits": [ 8952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.f0": { + "hide_name": 1, + "bits": [ 8954 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.f1": { + "hide_name": 1, + "bits": [ 8955 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.f2": { + "hide_name": 1, + "bits": [ 8956 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.f3": { + "hide_name": 1, + "bits": [ 8957 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.f4": { + "hide_name": 1, + "bits": [ 8958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.f5": { + "hide_name": 1, + "bits": [ 8959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.f6": { + "hide_name": 1, + "bits": [ 8960 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.f7": { + "hide_name": 1, + "bits": [ 8961 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.g0": { + "hide_name": 1, + "bits": [ 8962 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.g1": { + "hide_name": 1, + "bits": [ 8963 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.g2": { + "hide_name": 1, + "bits": [ 8964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.g3": { + "hide_name": 1, + "bits": [ 8965 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.h0": { + "hide_name": 1, + "bits": [ 8967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40522.h1": { + "hide_name": 1, + "bits": [ 8968 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:101" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40523.f0": { + "hide_name": 1, + "bits": [ 8969 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40523.f1": { + "hide_name": 1, + "bits": [ 8970 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40527.f0": { + "hide_name": 1, + "bits": [ 8973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40527.f1": { + "hide_name": 1, + "bits": [ 8974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40531.f0": { + "hide_name": 1, + "bits": [ 8987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40531.f1": { + "hide_name": 1, + "bits": [ 8988 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40578.f0": { + "hide_name": 1, + "bits": [ 9048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40578.f1": { + "hide_name": 1, + "bits": [ 9049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.f0": { + "hide_name": 1, + "bits": [ 9110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.f1": { + "hide_name": 1, + "bits": [ 9111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.f2": { + "hide_name": 1, + "bits": [ 9112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.f3": { + "hide_name": 1, + "bits": [ 9113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.g0": { + "hide_name": 1, + "bits": [ 9114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40613.g1": { + "hide_name": 1, + "bits": [ 9115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40614.f0": { + "hide_name": 1, + "bits": [ 9121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40614.f1": { + "hide_name": 1, + "bits": [ 9122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40629.f0": { + "hide_name": 1, + "bits": [ 9140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40629.f1": { + "hide_name": 1, + "bits": [ 9141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40631.f0": { + "hide_name": 1, + "bits": [ 9149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40631.f1": { + "hide_name": 1, + "bits": [ 9150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40637.f0": { + "hide_name": 1, + "bits": [ 9163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40637.f1": { + "hide_name": 1, + "bits": [ 9164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40639.f0": { + "hide_name": 1, + "bits": [ 9172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40639.f1": { + "hide_name": 1, + "bits": [ 9173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40664.f0": { + "hide_name": 1, + "bits": [ 9222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40664.f1": { + "hide_name": 1, + "bits": [ 9223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40675.f0": { + "hide_name": 1, + "bits": [ 9238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40675.f1": { + "hide_name": 1, + "bits": [ 9239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40677.f0": { + "hide_name": 1, + "bits": [ 9247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40677.f1": { + "hide_name": 1, + "bits": [ 9248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.f0": { + "hide_name": 1, + "bits": [ 9266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.f1": { + "hide_name": 1, + "bits": [ 9267 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.f2": { + "hide_name": 1, + "bits": [ 9268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.f3": { + "hide_name": 1, + "bits": [ 9269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.g0": { + "hide_name": 1, + "bits": [ 9270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40685.g1": { + "hide_name": 1, + "bits": [ 9271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.f0": { + "hide_name": 1, + "bits": [ 9319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.f1": { + "hide_name": 1, + "bits": [ 9320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.f2": { + "hide_name": 1, + "bits": [ 9321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.f3": { + "hide_name": 1, + "bits": [ 9322 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.g0": { + "hide_name": 1, + "bits": [ 9323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40725.g1": { + "hide_name": 1, + "bits": [ 9324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.f0": { + "hide_name": 1, + "bits": [ 9330 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.f1": { + "hide_name": 1, + "bits": [ 9331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.f2": { + "hide_name": 1, + "bits": [ 9332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.f3": { + "hide_name": 1, + "bits": [ 9333 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.g0": { + "hide_name": 1, + "bits": [ 9334 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40729.g1": { + "hide_name": 1, + "bits": [ 9335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:85" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40743.f0": { + "hide_name": 1, + "bits": [ 9350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40743.f1": { + "hide_name": 1, + "bits": [ 9351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40747.f0": { + "hide_name": 1, + "bits": [ 9364 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40747.f1": { + "hide_name": 1, + "bits": [ 9365 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40751.f0": { + "hide_name": 1, + "bits": [ 9373 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40751.f1": { + "hide_name": 1, + "bits": [ 9374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40753.f0": { + "hide_name": 1, + "bits": [ 9382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40753.f1": { + "hide_name": 1, + "bits": [ 9383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40759.f0": { + "hide_name": 1, + "bits": [ 9396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40759.f1": { + "hide_name": 1, + "bits": [ 9397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40761.f0": { + "hide_name": 1, + "bits": [ 9405 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40761.f1": { + "hide_name": 1, + "bits": [ 9406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40767.f0": { + "hide_name": 1, + "bits": [ 9419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40767.f1": { + "hide_name": 1, + "bits": [ 9420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40769.f0": { + "hide_name": 1, + "bits": [ 9428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40769.f1": { + "hide_name": 1, + "bits": [ 9429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40804.f0": { + "hide_name": 1, + "bits": [ 9482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40804.f1": { + "hide_name": 1, + "bits": [ 9483 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40813.f0": { + "hide_name": 1, + "bits": [ 9491 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40813.f1": { + "hide_name": 1, + "bits": [ 9492 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40817.f0": { + "hide_name": 1, + "bits": [ 9505 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40817.f1": { + "hide_name": 1, + "bits": [ 9506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40828.f0": { + "hide_name": 1, + "bits": [ 9520 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40828.f1": { + "hide_name": 1, + "bits": [ 9521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40831.f0": { + "hide_name": 1, + "bits": [ 9525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40831.f1": { + "hide_name": 1, + "bits": [ 9526 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40905.f0": { + "hide_name": 1, + "bits": [ 9611 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40905.f1": { + "hide_name": 1, + "bits": [ 9612 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40922.f0": { + "hide_name": 1, + "bits": [ 9627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40922.f1": { + "hide_name": 1, + "bits": [ 9628 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40925.f0": { + "hide_name": 1, + "bits": [ 9633 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40925.f1": { + "hide_name": 1, + "bits": [ 9634 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40927.f0": { + "hide_name": 1, + "bits": [ 9642 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40927.f1": { + "hide_name": 1, + "bits": [ 9643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40996.f0": { + "hide_name": 1, + "bits": [ 9742 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$40996.f1": { + "hide_name": 1, + "bits": [ 9743 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41000.f0": { + "hide_name": 1, + "bits": [ 9756 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41000.f1": { + "hide_name": 1, + "bits": [ 9757 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41006.f0": { + "hide_name": 1, + "bits": [ 9770 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41006.f1": { + "hide_name": 1, + "bits": [ 9771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41008.f0": { + "hide_name": 1, + "bits": [ 9777 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41008.f1": { + "hide_name": 1, + "bits": [ 9778 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41012.f0": { + "hide_name": 1, + "bits": [ 9787 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41012.f1": { + "hide_name": 1, + "bits": [ 9788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41014.f0": { + "hide_name": 1, + "bits": [ 9796 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41014.f1": { + "hide_name": 1, + "bits": [ 9797 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41020.f0": { + "hide_name": 1, + "bits": [ 9809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41020.f1": { + "hide_name": 1, + "bits": [ 9810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41024.f0": { + "hide_name": 1, + "bits": [ 9823 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41024.f1": { + "hide_name": 1, + "bits": [ 9824 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41028.f0": { + "hide_name": 1, + "bits": [ 9831 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41028.f1": { + "hide_name": 1, + "bits": [ 9832 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41030.f0": { + "hide_name": 1, + "bits": [ 9840 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$auto$blifparse.cc:492:parse_blif$41030.f1": { + "hide_name": 1, + "bits": [ 9841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:77" + } + }, + "$abc$39259$n1000": { + "hide_name": 1, + "bits": [ 2789 ], + "attributes": { + } + }, + "$abc$39259$n1001": { + "hide_name": 1, + "bits": [ 2951 ], + "attributes": { + } + }, + "$abc$39259$n1002": { + "hide_name": 1, + "bits": [ 2950 ], + "attributes": { + } + }, + "$abc$39259$n1003": { + "hide_name": 1, + "bits": [ 2904 ], + "attributes": { + } + }, + "$abc$39259$n1004": { + "hide_name": 1, + "bits": [ 2870 ], + "attributes": { + } + }, + "$abc$39259$n1005": { + "hide_name": 1, + "bits": [ 2869 ], + "attributes": { + } + }, + "$abc$39259$n1006": { + "hide_name": 1, + "bits": [ 2845 ], + "attributes": { + } + }, + "$abc$39259$n1007": { + "hide_name": 1, + "bits": [ 2963 ], + "attributes": { + } + }, + "$abc$39259$n1008": { + "hide_name": 1, + "bits": [ 2962 ], + "attributes": { + } + }, + "$abc$39259$n1009": { + "hide_name": 1, + "bits": [ 2915 ], + "attributes": { + } + }, + "$abc$39259$n1010": { + "hide_name": 1, + "bits": [ 2877 ], + "attributes": { + } + }, + "$abc$39259$n1011": { + "hide_name": 1, + "bits": [ 2876 ], + "attributes": { + } + }, + "$abc$39259$n1012": { + "hide_name": 1, + "bits": [ 2847 ], + "attributes": { + } + }, + "$abc$39259$n1013": { + "hide_name": 1, + "bits": [ 2745 ], + "attributes": { + } + }, + "$abc$39259$n1014": { + "hide_name": 1, + "bits": [ 2744 ], + "attributes": { + } + }, + "$abc$39259$n1015": { + "hide_name": 1, + "bits": [ 747 ], + "attributes": { + } + }, + "$abc$39259$n1016": { + "hide_name": 1, + "bits": [ 2826 ], + "attributes": { + } + }, + "$abc$39259$n1017": { + "hide_name": 1, + "bits": [ 2825 ], + "attributes": { + } + }, + "$abc$39259$n1018": { + "hide_name": 1, + "bits": [ 2842 ], + "attributes": { + } + }, + "$abc$39259$n1019": { + "hide_name": 1, + "bits": [ 2841 ], + "attributes": { + } + }, + "$abc$39259$n102": { + "hide_name": 1, + "bits": [ 772 ], + "attributes": { + } + }, + "$abc$39259$n1020": { + "hide_name": 1, + "bits": [ 2866 ], + "attributes": { + } + }, + "$abc$39259$n1021": { + "hide_name": 1, + "bits": [ 2865 ], + "attributes": { + } + }, + "$abc$39259$n1022": { + "hide_name": 1, + "bits": [ 2741 ], + "attributes": { + } + }, + "$abc$39259$n1023": { + "hide_name": 1, + "bits": [ 2740 ], + "attributes": { + } + }, + "$abc$39259$n1024": { + "hide_name": 1, + "bits": [ 2749 ], + "attributes": { + } + }, + "$abc$39259$n1025": { + "hide_name": 1, + "bits": [ 2748 ], + "attributes": { + } + }, + "$abc$39259$n1026": { + "hide_name": 1, + "bits": [ 2947 ], + "attributes": { + } + }, + "$abc$39259$n1027": { + "hide_name": 1, + "bits": [ 2946 ], + "attributes": { + } + }, + "$abc$39259$n1028": { + "hide_name": 1, + "bits": [ 2923 ], + "attributes": { + } + }, + "$abc$39259$n1029": { + "hide_name": 1, + "bits": [ 2942 ], + "attributes": { + } + }, + "$abc$39259$n1030": { + "hide_name": 1, + "bits": [ 2903 ], + "attributes": { + } + }, + "$abc$39259$n1031": { + "hide_name": 1, + "bits": [ 2802 ], + "attributes": { + } + }, + "$abc$39259$n1032": { + "hide_name": 1, + "bits": [ 2806 ], + "attributes": { + } + }, + "$abc$39259$n1033": { + "hide_name": 1, + "bits": [ 2807 ], + "attributes": { + } + }, + "$abc$39259$n1034": { + "hide_name": 1, + "bits": [ 3421 ], + "attributes": { + } + }, + "$abc$39259$n1035": { + "hide_name": 1, + "bits": [ 2387 ], + "attributes": { + } + }, + "$abc$39259$n1036": { + "hide_name": 1, + "bits": [ 2725 ], + "attributes": { + } + }, + "$abc$39259$n1037": { + "hide_name": 1, + "bits": [ 2959 ], + "attributes": { + } + }, + "$abc$39259$n1038": { + "hide_name": 1, + "bits": [ 2601 ], + "attributes": { + } + }, + "$abc$39259$n1039": { + "hide_name": 1, + "bits": [ 2597 ], + "attributes": { + } + }, + "$abc$39259$n1040": { + "hide_name": 1, + "bits": [ 2598 ], + "attributes": { + } + }, + "$abc$39259$n1041": { + "hide_name": 1, + "bits": [ 2707 ], + "attributes": { + } + }, + "$abc$39259$n1042": { + "hide_name": 1, + "bits": [ 2615 ], + "attributes": { + } + }, + "$abc$39259$n1043": { + "hide_name": 1, + "bits": [ 2612 ], + "attributes": { + } + }, + "$abc$39259$n1044": { + "hide_name": 1, + "bits": [ 2713 ], + "attributes": { + } + }, + "$abc$39259$n1045": { + "hide_name": 1, + "bits": [ 2711 ], + "attributes": { + } + }, + "$abc$39259$n1046": { + "hide_name": 1, + "bits": [ 2729 ], + "attributes": { + } + }, + "$abc$39259$n1047": { + "hide_name": 1, + "bits": [ 823 ], + "attributes": { + } + }, + "$abc$39259$n1048": { + "hide_name": 1, + "bits": [ 2712 ], + "attributes": { + } + }, + "$abc$39259$n1049": { + "hide_name": 1, + "bits": [ 3503 ], + "attributes": { + } + }, + "$abc$39259$n1051": { + "hide_name": 1, + "bits": [ 645 ], + "attributes": { + } + }, + "$abc$39259$n1052": { + "hide_name": 1, + "bits": [ 3190 ], + "attributes": { + } + }, + "$abc$39259$n1053": { + "hide_name": 1, + "bits": [ 3555 ], + "attributes": { + } + }, + "$abc$39259$n1054": { + "hide_name": 1, + "bits": [ 3160 ], + "attributes": { + } + }, + "$abc$39259$n1055": { + "hide_name": 1, + "bits": [ 3081 ], + "attributes": { + } + }, + "$abc$39259$n1056": { + "hide_name": 1, + "bits": [ 3209 ], + "attributes": { + } + }, + "$abc$39259$n1057": { + "hide_name": 1, + "bits": [ 3206 ], + "attributes": { + } + }, + "$abc$39259$n1059": { + "hide_name": 1, + "bits": [ 9651 ], + "attributes": { + } + }, + "$abc$39259$n106": { + "hide_name": 1, + "bits": [ 820 ], + "attributes": { + } + }, + "$abc$39259$n1099": { + "hide_name": 1, + "bits": [ 7216 ], + "attributes": { + } + }, + "$abc$39259$n110": { + "hide_name": 1, + "bits": [ 834 ], + "attributes": { + } + }, + "$abc$39259$n1107": { + "hide_name": 1, + "bits": [ 5082 ], + "attributes": { + } + }, + "$abc$39259$n1108": { + "hide_name": 1, + "bits": [ 5349 ], + "attributes": { + } + }, + "$abc$39259$n1110": { + "hide_name": 1, + "bits": [ 5311 ], + "attributes": { + } + }, + "$abc$39259$n1131": { + "hide_name": 1, + "bits": [ 9851 ], + "attributes": { + } + }, + "$abc$39259$n1134": { + "hide_name": 1, + "bits": [ 9761 ], + "attributes": { + } + }, + "$abc$39259$n1137": { + "hide_name": 1, + "bits": [ 9416 ], + "attributes": { + } + }, + "$abc$39259$n1139": { + "hide_name": 1, + "bits": [ 3738 ], + "attributes": { + } + }, + "$abc$39259$n114": { + "hide_name": 1, + "bits": [ 863 ], + "attributes": { + } + }, + "$abc$39259$n1140": { + "hide_name": 1, + "bits": [ 3857 ], + "attributes": { + } + }, + "$abc$39259$n1142": { + "hide_name": 1, + "bits": [ 586 ], + "attributes": { + } + }, + "$abc$39259$n1143": { + "hide_name": 1, + "bits": [ 3882 ], + "attributes": { + } + }, + "$abc$39259$n1144": { + "hide_name": 1, + "bits": [ 3881 ], + "attributes": { + } + }, + "$abc$39259$n1145": { + "hide_name": 1, + "bits": [ 3860 ], + "attributes": { + } + }, + "$abc$39259$n1146": { + "hide_name": 1, + "bits": [ 3595 ], + "attributes": { + } + }, + "$abc$39259$n1147": { + "hide_name": 1, + "bits": [ 3965 ], + "attributes": { + } + }, + "$abc$39259$n1148": { + "hide_name": 1, + "bits": [ 3922 ], + "attributes": { + } + }, + "$abc$39259$n1149": { + "hide_name": 1, + "bits": [ 3652 ], + "attributes": { + } + }, + "$abc$39259$n1150": { + "hide_name": 1, + "bits": [ 3650 ], + "attributes": { + } + }, + "$abc$39259$n1152": { + "hide_name": 1, + "bits": [ 3942 ], + "attributes": { + } + }, + "$abc$39259$n1153": { + "hide_name": 1, + "bits": [ 3533 ], + "attributes": { + } + }, + "$abc$39259$n1154": { + "hide_name": 1, + "bits": [ 3755 ], + "attributes": { + } + }, + "$abc$39259$n1155": { + "hide_name": 1, + "bits": [ 634 ], + "attributes": { + } + }, + "$abc$39259$n1156": { + "hide_name": 1, + "bits": [ 3791 ], + "attributes": { + } + }, + "$abc$39259$n1157": { + "hide_name": 1, + "bits": [ 3789 ], + "attributes": { + } + }, + "$abc$39259$n1158": { + "hide_name": 1, + "bits": [ 3913 ], + "attributes": { + } + }, + "$abc$39259$n1159": { + "hide_name": 1, + "bits": [ 3757 ], + "attributes": { + } + }, + "$abc$39259$n1160": { + "hide_name": 1, + "bits": [ 3756 ], + "attributes": { + } + }, + "$abc$39259$n1161": { + "hide_name": 1, + "bits": [ 3825 ], + "attributes": { + } + }, + "$abc$39259$n1162": { + "hide_name": 1, + "bits": [ 679 ], + "attributes": { + } + }, + "$abc$39259$n1163": { + "hide_name": 1, + "bits": [ 3809 ], + "attributes": { + } + }, + "$abc$39259$n1164": { + "hide_name": 1, + "bits": [ 3801 ], + "attributes": { + } + }, + "$abc$39259$n1165": { + "hide_name": 1, + "bits": [ 3530 ], + "attributes": { + } + }, + "$abc$39259$n1166": { + "hide_name": 1, + "bits": [ 3708 ], + "attributes": { + } + }, + "$abc$39259$n1167": { + "hide_name": 1, + "bits": [ 3700 ], + "attributes": { + } + }, + "$abc$39259$n1168": { + "hide_name": 1, + "bits": [ 670 ], + "attributes": { + } + }, + "$abc$39259$n1169": { + "hide_name": 1, + "bits": [ 3774 ], + "attributes": { + } + }, + "$abc$39259$n1170": { + "hide_name": 1, + "bits": [ 3766 ], + "attributes": { + } + }, + "$abc$39259$n1171": { + "hide_name": 1, + "bits": [ 3616 ], + "attributes": { + } + }, + "$abc$39259$n1172": { + "hide_name": 1, + "bits": [ 3614 ], + "attributes": { + } + }, + "$abc$39259$n1173": { + "hide_name": 1, + "bits": [ 3905 ], + "attributes": { + } + }, + "$abc$39259$n1174": { + "hide_name": 1, + "bits": [ 3639 ], + "attributes": { + } + }, + "$abc$39259$n1175": { + "hide_name": 1, + "bits": [ 3634 ], + "attributes": { + } + }, + "$abc$39259$n1176": { + "hide_name": 1, + "bits": [ 3633 ], + "attributes": { + } + }, + "$abc$39259$n1177": { + "hide_name": 1, + "bits": [ 3647 ], + "attributes": { + } + }, + "$abc$39259$n1178": { + "hide_name": 1, + "bits": [ 3642 ], + "attributes": { + } + }, + "$abc$39259$n1179": { + "hide_name": 1, + "bits": [ 3626 ], + "attributes": { + } + }, + "$abc$39259$n118": { + "hide_name": 1, + "bits": [ 912 ], + "attributes": { + } + }, + "$abc$39259$n1180": { + "hide_name": 1, + "bits": [ 644 ], + "attributes": { + } + }, + "$abc$39259$n1181": { + "hide_name": 1, + "bits": [ 642 ], + "attributes": { + } + }, + "$abc$39259$n1182": { + "hide_name": 1, + "bits": [ 3829 ], + "attributes": { + } + }, + "$abc$39259$n1183": { + "hide_name": 1, + "bits": [ 667 ], + "attributes": { + } + }, + "$abc$39259$n1184": { + "hide_name": 1, + "bits": [ 3748 ], + "attributes": { + } + }, + "$abc$39259$n1185": { + "hide_name": 1, + "bits": [ 3581 ], + "attributes": { + } + }, + "$abc$39259$n1186": { + "hide_name": 1, + "bits": [ 3578 ], + "attributes": { + } + }, + "$abc$39259$n1187": { + "hide_name": 1, + "bits": [ 3611 ], + "attributes": { + } + }, + "$abc$39259$n1188": { + "hide_name": 1, + "bits": [ 3606 ], + "attributes": { + } + }, + "$abc$39259$n1189": { + "hide_name": 1, + "bits": [ 3064 ], + "attributes": { + } + }, + "$abc$39259$n1190": { + "hide_name": 1, + "bits": [ 3491 ], + "attributes": { + } + }, + "$abc$39259$n1191": { + "hide_name": 1, + "bits": [ 3554 ], + "attributes": { + } + }, + "$abc$39259$n1192": { + "hide_name": 1, + "bits": [ 3076 ], + "attributes": { + } + }, + "$abc$39259$n1193": { + "hide_name": 1, + "bits": [ 3487 ], + "attributes": { + } + }, + "$abc$39259$n1194": { + "hide_name": 1, + "bits": [ 3462 ], + "attributes": { + } + }, + "$abc$39259$n1195": { + "hide_name": 1, + "bits": [ 3792 ], + "attributes": { + } + }, + "$abc$39259$n1196": { + "hide_name": 1, + "bits": [ 3067 ], + "attributes": { + } + }, + "$abc$39259$n1197": { + "hide_name": 1, + "bits": [ 3492 ], + "attributes": { + } + }, + "$abc$39259$n1198": { + "hide_name": 1, + "bits": [ 3786 ], + "attributes": { + } + }, + "$abc$39259$n1199": { + "hide_name": 1, + "bits": [ 3271 ], + "attributes": { + } + }, + "$abc$39259$n1200": { + "hide_name": 1, + "bits": [ 3461 ], + "attributes": { + } + }, + "$abc$39259$n1201": { + "hide_name": 1, + "bits": [ 3066 ], + "attributes": { + } + }, + "$abc$39259$n1202": { + "hide_name": 1, + "bits": [ 3499 ], + "attributes": { + } + }, + "$abc$39259$n1203": { + "hide_name": 1, + "bits": [ 3568 ], + "attributes": { + } + }, + "$abc$39259$n1204": { + "hide_name": 1, + "bits": [ 3552 ], + "attributes": { + } + }, + "$abc$39259$n1205": { + "hide_name": 1, + "bits": [ 3531 ], + "attributes": { + } + }, + "$abc$39259$n1206": { + "hide_name": 1, + "bits": [ 3456 ], + "attributes": { + } + }, + "$abc$39259$n1207": { + "hide_name": 1, + "bits": [ 3457 ], + "attributes": { + } + }, + "$abc$39259$n1208": { + "hide_name": 1, + "bits": [ 3559 ], + "attributes": { + } + }, + "$abc$39259$n1209": { + "hide_name": 1, + "bits": [ 3500 ], + "attributes": { + } + }, + "$abc$39259$n1210": { + "hide_name": 1, + "bits": [ 3384 ], + "attributes": { + } + }, + "$abc$39259$n1211": { + "hide_name": 1, + "bits": [ 3504 ], + "attributes": { + } + }, + "$abc$39259$n1212": { + "hide_name": 1, + "bits": [ 689 ], + "attributes": { + } + }, + "$abc$39259$n1213": { + "hide_name": 1, + "bits": [ 688 ], + "attributes": { + } + }, + "$abc$39259$n1214": { + "hide_name": 1, + "bits": [ 3508 ], + "attributes": { + } + }, + "$abc$39259$n1215": { + "hide_name": 1, + "bits": [ 4023 ], + "attributes": { + } + }, + "$abc$39259$n1216": { + "hide_name": 1, + "bits": [ 4022 ], + "attributes": { + } + }, + "$abc$39259$n1217": { + "hide_name": 1, + "bits": [ 3979 ], + "attributes": { + } + }, + "$abc$39259$n1218": { + "hide_name": 1, + "bits": [ 654 ], + "attributes": { + } + }, + "$abc$39259$n1219": { + "hide_name": 1, + "bits": [ 3968 ], + "attributes": { + } + }, + "$abc$39259$n122": { + "hide_name": 1, + "bits": [ 936 ], + "attributes": { + } + }, + "$abc$39259$n1220": { + "hide_name": 1, + "bits": [ 3923 ], + "attributes": { + } + }, + "$abc$39259$n1221": { + "hide_name": 1, + "bits": [ 3885 ], + "attributes": { + } + }, + "$abc$39259$n1222": { + "hide_name": 1, + "bits": [ 3861 ], + "attributes": { + } + }, + "$abc$39259$n1223": { + "hide_name": 1, + "bits": [ 3707 ], + "attributes": { + } + }, + "$abc$39259$n1224": { + "hide_name": 1, + "bits": [ 3841 ], + "attributes": { + } + }, + "$abc$39259$n1225": { + "hide_name": 1, + "bits": [ 4069 ], + "attributes": { + } + }, + "$abc$39259$n1226": { + "hide_name": 1, + "bits": [ 3667 ], + "attributes": { + } + }, + "$abc$39259$n1227": { + "hide_name": 1, + "bits": [ 4005 ], + "attributes": { + } + }, + "$abc$39259$n1228": { + "hide_name": 1, + "bits": [ 4168 ], + "attributes": { + } + }, + "$abc$39259$n1238": { + "hide_name": 1, + "bits": [ 9849 ], + "attributes": { + } + }, + "$abc$39259$n1240": { + "hide_name": 1, + "bits": [ 9760 ], + "attributes": { + } + }, + "$abc$39259$n1241": { + "hide_name": 1, + "bits": [ 9509 ], + "attributes": { + } + }, + "$abc$39259$n1244": { + "hide_name": 1, + "bits": [ 9184 ], + "attributes": { + } + }, + "$abc$39259$n1248": { + "hide_name": 1, + "bits": [ 714 ], + "attributes": { + } + }, + "$abc$39259$n1249": { + "hide_name": 1, + "bits": [ 3458 ], + "attributes": { + } + }, + "$abc$39259$n1250": { + "hide_name": 1, + "bits": [ 3691 ], + "attributes": { + } + }, + "$abc$39259$n126": { + "hide_name": 1, + "bits": [ 962 ], + "attributes": { + } + }, + "$abc$39259$n1267": { + "hide_name": 1, + "bits": [ 4068 ], + "attributes": { + } + }, + "$abc$39259$n1293": { + "hide_name": 1, + "bits": [ 9186 ], + "attributes": { + } + }, + "$abc$39259$n1303": { + "hide_name": 1, + "bits": [ 9187 ], + "attributes": { + } + }, + "$abc$39259$n131": { + "hide_name": 1, + "bits": [ 981 ], + "attributes": { + } + }, + "$abc$39259$n1310": { + "hide_name": 1, + "bits": [ 4565 ], + "attributes": { + } + }, + "$abc$39259$n1311": { + "hide_name": 1, + "bits": [ 4868 ], + "attributes": { + } + }, + "$abc$39259$n1313": { + "hide_name": 1, + "bits": [ 4861 ], + "attributes": { + } + }, + "$abc$39259$n1314": { + "hide_name": 1, + "bits": [ 4229 ], + "attributes": { + } + }, + "$abc$39259$n1315": { + "hide_name": 1, + "bits": [ 4504 ], + "attributes": { + } + }, + "$abc$39259$n1317": { + "hide_name": 1, + "bits": [ 4466 ], + "attributes": { + } + }, + "$abc$39259$n1318": { + "hide_name": 1, + "bits": [ 4427 ], + "attributes": { + } + }, + "$abc$39259$n1319": { + "hide_name": 1, + "bits": [ 4417 ], + "attributes": { + } + }, + "$abc$39259$n1320": { + "hide_name": 1, + "bits": [ 4900 ], + "attributes": { + } + }, + "$abc$39259$n1321": { + "hide_name": 1, + "bits": [ 600 ], + "attributes": { + } + }, + "$abc$39259$n1322": { + "hide_name": 1, + "bits": [ 4611 ], + "attributes": { + } + }, + "$abc$39259$n1323": { + "hide_name": 1, + "bits": [ 4566 ], + "attributes": { + } + }, + "$abc$39259$n1324": { + "hide_name": 1, + "bits": [ 4619 ], + "attributes": { + } + }, + "$abc$39259$n1325": { + "hide_name": 1, + "bits": [ 4618 ], + "attributes": { + } + }, + "$abc$39259$n1326": { + "hide_name": 1, + "bits": [ 4579 ], + "attributes": { + } + }, + "$abc$39259$n1327": { + "hide_name": 1, + "bits": [ 4442 ], + "attributes": { + } + }, + "$abc$39259$n1328": { + "hide_name": 1, + "bits": [ 4441 ], + "attributes": { + } + }, + "$abc$39259$n1329": { + "hide_name": 1, + "bits": [ 563 ], + "attributes": { + } + }, + "$abc$39259$n1330": { + "hide_name": 1, + "bits": [ 4177 ], + "attributes": { + } + }, + "$abc$39259$n1331": { + "hide_name": 1, + "bits": [ 4501 ], + "attributes": { + } + }, + "$abc$39259$n1332": { + "hide_name": 1, + "bits": [ 4461 ], + "attributes": { + } + }, + "$abc$39259$n1333": { + "hide_name": 1, + "bits": [ 4491 ], + "attributes": { + } + }, + "$abc$39259$n1334": { + "hide_name": 1, + "bits": [ 4490 ], + "attributes": { + } + }, + "$abc$39259$n1335": { + "hide_name": 1, + "bits": [ 4450 ], + "attributes": { + } + }, + "$abc$39259$n1336": { + "hide_name": 1, + "bits": [ 4085 ], + "attributes": { + } + }, + "$abc$39259$n1337": { + "hide_name": 1, + "bits": [ 4470 ], + "attributes": { + } + }, + "$abc$39259$n1338": { + "hide_name": 1, + "bits": [ 4951 ], + "attributes": { + } + }, + "$abc$39259$n1339": { + "hide_name": 1, + "bits": [ 4414 ], + "attributes": { + } + }, + "$abc$39259$n1340": { + "hide_name": 1, + "bits": [ 4413 ], + "attributes": { + } + }, + "$abc$39259$n1341": { + "hide_name": 1, + "bits": [ 552 ], + "attributes": { + } + }, + "$abc$39259$n1342": { + "hide_name": 1, + "bits": [ 4498 ], + "attributes": { + } + }, + "$abc$39259$n1343": { + "hide_name": 1, + "bits": [ 4497 ], + "attributes": { + } + }, + "$abc$39259$n1344": { + "hide_name": 1, + "bits": [ 4452 ], + "attributes": { + } + }, + "$abc$39259$n1345": { + "hide_name": 1, + "bits": [ 4282 ], + "attributes": { + } + }, + "$abc$39259$n1346": { + "hide_name": 1, + "bits": [ 4546 ], + "attributes": { + } + }, + "$abc$39259$n1347": { + "hide_name": 1, + "bits": [ 4930 ], + "attributes": { + } + }, + "$abc$39259$n1348": { + "hide_name": 1, + "bits": [ 4556 ], + "attributes": { + } + }, + "$abc$39259$n1349": { + "hide_name": 1, + "bits": [ 4547 ], + "attributes": { + } + }, + "$abc$39259$n1350": { + "hide_name": 1, + "bits": [ 4946 ], + "attributes": { + } + }, + "$abc$39259$n1351": { + "hide_name": 1, + "bits": [ 4131 ], + "attributes": { + } + }, + "$abc$39259$n1352": { + "hide_name": 1, + "bits": [ 4539 ], + "attributes": { + } + }, + "$abc$39259$n1353": { + "hide_name": 1, + "bits": [ 4509 ], + "attributes": { + } + }, + "$abc$39259$n1354": { + "hide_name": 1, + "bits": [ 4608 ], + "attributes": { + } + }, + "$abc$39259$n1355": { + "hide_name": 1, + "bits": [ 4607 ], + "attributes": { + } + }, + "$abc$39259$n1356": { + "hide_name": 1, + "bits": [ 3920 ], + "attributes": { + } + }, + "$abc$39259$n1357": { + "hide_name": 1, + "bits": [ 4237 ], + "attributes": { + } + }, + "$abc$39259$n1359": { + "hide_name": 1, + "bits": [ 611 ], + "attributes": { + } + }, + "$abc$39259$n136": { + "hide_name": 1, + "bits": [ 978 ], + "attributes": { + } + }, + "$abc$39259$n1360": { + "hide_name": 1, + "bits": [ 4116 ], + "attributes": { + } + }, + "$abc$39259$n1361": { + "hide_name": 1, + "bits": [ 3872 ], + "attributes": { + } + }, + "$abc$39259$n1362": { + "hide_name": 1, + "bits": [ 4050 ], + "attributes": { + } + }, + "$abc$39259$n1363": { + "hide_name": 1, + "bits": [ 585 ], + "attributes": { + } + }, + "$abc$39259$n1364": { + "hide_name": 1, + "bits": [ 583 ], + "attributes": { + } + }, + "$abc$39259$n1365": { + "hide_name": 1, + "bits": [ 3921 ], + "attributes": { + } + }, + "$abc$39259$n1366": { + "hide_name": 1, + "bits": [ 4365 ], + "attributes": { + } + }, + "$abc$39259$n1367": { + "hide_name": 1, + "bits": [ 3980 ], + "attributes": { + } + }, + "$abc$39259$n1368": { + "hide_name": 1, + "bits": [ 4199 ], + "attributes": { + } + }, + "$abc$39259$n1369": { + "hide_name": 1, + "bits": [ 4398 ], + "attributes": { + } + }, + "$abc$39259$n1370": { + "hide_name": 1, + "bits": [ 4375 ], + "attributes": { + } + }, + "$abc$39259$n1371": { + "hide_name": 1, + "bits": [ 3989 ], + "attributes": { + } + }, + "$abc$39259$n1372": { + "hide_name": 1, + "bits": [ 4214 ], + "attributes": { + } + }, + "$abc$39259$n1373": { + "hide_name": 1, + "bits": [ 4182 ], + "attributes": { + } + }, + "$abc$39259$n1374": { + "hide_name": 1, + "bits": [ 4086 ], + "attributes": { + } + }, + "$abc$39259$n1375": { + "hide_name": 1, + "bits": [ 4066 ], + "attributes": { + } + }, + "$abc$39259$n1376": { + "hide_name": 1, + "bits": [ 4480 ], + "attributes": { + } + }, + "$abc$39259$n1377": { + "hide_name": 1, + "bits": [ 4317 ], + "attributes": { + } + }, + "$abc$39259$n1378": { + "hide_name": 1, + "bits": [ 4315 ], + "attributes": { + } + }, + "$abc$39259$n1379": { + "hide_name": 1, + "bits": [ 4615 ], + "attributes": { + } + }, + "$abc$39259$n1380": { + "hide_name": 1, + "bits": [ 3978 ], + "attributes": { + } + }, + "$abc$39259$n1381": { + "hide_name": 1, + "bits": [ 4316 ], + "attributes": { + } + }, + "$abc$39259$n1382": { + "hide_name": 1, + "bits": [ 613 ], + "attributes": { + } + }, + "$abc$39259$n1383": { + "hide_name": 1, + "bits": [ 4300 ], + "attributes": { + } + }, + "$abc$39259$n1384": { + "hide_name": 1, + "bits": [ 584 ], + "attributes": { + } + }, + "$abc$39259$n1385": { + "hide_name": 1, + "bits": [ 4150 ], + "attributes": { + } + }, + "$abc$39259$n1386": { + "hide_name": 1, + "bits": [ 4219 ], + "attributes": { + } + }, + "$abc$39259$n1387": { + "hide_name": 1, + "bits": [ 3941 ], + "attributes": { + } + }, + "$abc$39259$n1388": { + "hide_name": 1, + "bits": [ 553 ], + "attributes": { + } + }, + "$abc$39259$n1389": { + "hide_name": 1, + "bits": [ 4802 ], + "attributes": { + } + }, + "$abc$39259$n1390": { + "hide_name": 1, + "bits": [ 5000 ], + "attributes": { + } + }, + "$abc$39259$n1391": { + "hide_name": 1, + "bits": [ 4518 ], + "attributes": { + } + }, + "$abc$39259$n1392": { + "hide_name": 1, + "bits": [ 4685 ], + "attributes": { + } + }, + "$abc$39259$n1393": { + "hide_name": 1, + "bits": [ 4669 ], + "attributes": { + } + }, + "$abc$39259$n1394": { + "hide_name": 1, + "bits": [ 4449 ], + "attributes": { + } + }, + "$abc$39259$n1395": { + "hide_name": 1, + "bits": [ 4700 ], + "attributes": { + } + }, + "$abc$39259$n1396": { + "hide_name": 1, + "bits": [ 5066 ], + "attributes": { + } + }, + "$abc$39259$n1397": { + "hide_name": 1, + "bits": [ 4917 ], + "attributes": { + } + }, + "$abc$39259$n1398": { + "hide_name": 1, + "bits": [ 4909 ], + "attributes": { + } + }, + "$abc$39259$n1399": { + "hide_name": 1, + "bits": [ 551 ], + "attributes": { + } + }, + "$abc$39259$n14": { + "hide_name": 1, + "bits": [ 84 ], + "attributes": { + } + }, + "$abc$39259$n1400": { + "hide_name": 1, + "bits": [ 4652 ], + "attributes": { + } + }, + "$abc$39259$n1401": { + "hide_name": 1, + "bits": [ 5078 ], + "attributes": { + } + }, + "$abc$39259$n1402": { + "hide_name": 1, + "bits": [ 4767 ], + "attributes": { + } + }, + "$abc$39259$n1403": { + "hide_name": 1, + "bits": [ 510 ], + "attributes": { + } + }, + "$abc$39259$n1408": { + "hide_name": 1, + "bits": [ 3571 ], + "attributes": { + } + }, + "$abc$39259$n1409": { + "hide_name": 1, + "bits": [ 659 ], + "attributes": { + } + }, + "$abc$39259$n141": { + "hide_name": 1, + "bits": [ 970 ], + "attributes": { + } + }, + "$abc$39259$n1410": { + "hide_name": 1, + "bits": [ 9188 ], + "attributes": { + } + }, + "$abc$39259$n1429": { + "hide_name": 1, + "bits": [ 9189 ], + "attributes": { + } + }, + "$abc$39259$n143": { + "hide_name": 1, + "bits": [ 6446 ], + "attributes": { + } + }, + "$abc$39259$n144": { + "hide_name": 1, + "bits": [ 6980 ], + "attributes": { + } + }, + "$abc$39259$n1448": { + "hide_name": 1, + "bits": [ 9196 ], + "attributes": { + } + }, + "$abc$39259$n1449": { + "hide_name": 1, + "bits": [ 9197 ], + "attributes": { + } + }, + "$abc$39259$n146": { + "hide_name": 1, + "bits": [ 7016 ], + "attributes": { + } + }, + "$abc$39259$n1463": { + "hide_name": 1, + "bits": [ 9467 ], + "attributes": { + } + }, + "$abc$39259$n147": { + "hide_name": 1, + "bits": [ 6512 ], + "attributes": { + } + }, + "$abc$39259$n148": { + "hide_name": 1, + "bits": [ 6864 ], + "attributes": { + } + }, + "$abc$39259$n1483": { + "hide_name": 1, + "bits": [ 5141 ], + "attributes": { + } + }, + "$abc$39259$n1484": { + "hide_name": 1, + "bits": [ 5558 ], + "attributes": { + } + }, + "$abc$39259$n1485": { + "hide_name": 1, + "bits": [ 5546 ], + "attributes": { + } + }, + "$abc$39259$n1486": { + "hide_name": 1, + "bits": [ 5150 ], + "attributes": { + } + }, + "$abc$39259$n1487": { + "hide_name": 1, + "bits": [ 5226 ], + "attributes": { + } + }, + "$abc$39259$n1488": { + "hide_name": 1, + "bits": [ 5713 ], + "attributes": { + } + }, + "$abc$39259$n1489": { + "hide_name": 1, + "bits": [ 5024 ], + "attributes": { + } + }, + "$abc$39259$n149": { + "hide_name": 1, + "bits": [ 7178 ], + "attributes": { + } + }, + "$abc$39259$n1490": { + "hide_name": 1, + "bits": [ 5227 ], + "attributes": { + } + }, + "$abc$39259$n1491": { + "hide_name": 1, + "bits": [ 5655 ], + "attributes": { + } + }, + "$abc$39259$n1492": { + "hide_name": 1, + "bits": [ 478 ], + "attributes": { + } + }, + "$abc$39259$n1493": { + "hide_name": 1, + "bits": [ 5619 ], + "attributes": { + } + }, + "$abc$39259$n1494": { + "hide_name": 1, + "bits": [ 5549 ], + "attributes": { + } + }, + "$abc$39259$n1495": { + "hide_name": 1, + "bits": [ 5586 ], + "attributes": { + } + }, + "$abc$39259$n1496": { + "hide_name": 1, + "bits": [ 5573 ], + "attributes": { + } + }, + "$abc$39259$n1497": { + "hide_name": 1, + "bits": [ 5547 ], + "attributes": { + } + }, + "$abc$39259$n1498": { + "hide_name": 1, + "bits": [ 5647 ], + "attributes": { + } + }, + "$abc$39259$n1499": { + "hide_name": 1, + "bits": [ 5634 ], + "attributes": { + } + }, + "$abc$39259$n150": { + "hide_name": 1, + "bits": [ 6881 ], + "attributes": { + } + }, + "$abc$39259$n1500": { + "hide_name": 1, + "bits": [ 5557 ], + "attributes": { + } + }, + "$abc$39259$n1501": { + "hide_name": 1, + "bits": [ 494 ], + "attributes": { + } + }, + "$abc$39259$n1502": { + "hide_name": 1, + "bits": [ 5604 ], + "attributes": { + } + }, + "$abc$39259$n1503": { + "hide_name": 1, + "bits": [ 5554 ], + "attributes": { + } + }, + "$abc$39259$n1504": { + "hide_name": 1, + "bits": [ 5101 ], + "attributes": { + } + }, + "$abc$39259$n1505": { + "hide_name": 1, + "bits": [ 5294 ], + "attributes": { + } + }, + "$abc$39259$n1506": { + "hide_name": 1, + "bits": [ 5241 ], + "attributes": { + } + }, + "$abc$39259$n1507": { + "hide_name": 1, + "bits": [ 451 ], + "attributes": { + } + }, + "$abc$39259$n1508": { + "hide_name": 1, + "bits": [ 449 ], + "attributes": { + } + }, + "$abc$39259$n1509": { + "hide_name": 1, + "bits": [ 5650 ], + "attributes": { + } + }, + "$abc$39259$n151": { + "hide_name": 1, + "bits": [ 6882 ], + "attributes": { + } + }, + "$abc$39259$n1510": { + "hide_name": 1, + "bits": [ 5022 ], + "attributes": { + } + }, + "$abc$39259$n1511": { + "hide_name": 1, + "bits": [ 5249 ], + "attributes": { + } + }, + "$abc$39259$n1512": { + "hide_name": 1, + "bits": [ 5246 ], + "attributes": { + } + }, + "$abc$39259$n1513": { + "hide_name": 1, + "bits": [ 480 ], + "attributes": { + } + }, + "$abc$39259$n1514": { + "hide_name": 1, + "bits": [ 5334 ], + "attributes": { + } + }, + "$abc$39259$n1515": { + "hide_name": 1, + "bits": [ 5310 ], + "attributes": { + } + }, + "$abc$39259$n1516": { + "hide_name": 1, + "bits": [ 5021 ], + "attributes": { + } + }, + "$abc$39259$n1517": { + "hide_name": 1, + "bits": [ 5511 ], + "attributes": { + } + }, + "$abc$39259$n1518": { + "hide_name": 1, + "bits": [ 5424 ], + "attributes": { + } + }, + "$abc$39259$n1519": { + "hide_name": 1, + "bits": [ 5492 ], + "attributes": { + } + }, + "$abc$39259$n152": { + "hide_name": 1, + "bits": [ 7200 ], + "attributes": { + } + }, + "$abc$39259$n1520": { + "hide_name": 1, + "bits": [ 5479 ], + "attributes": { + } + }, + "$abc$39259$n1521": { + "hide_name": 1, + "bits": [ 5414 ], + "attributes": { + } + }, + "$abc$39259$n1522": { + "hide_name": 1, + "bits": [ 5189 ], + "attributes": { + } + }, + "$abc$39259$n1523": { + "hide_name": 1, + "bits": [ 5179 ], + "attributes": { + } + }, + "$abc$39259$n1525": { + "hide_name": 1, + "bits": [ 5508 ], + "attributes": { + } + }, + "$abc$39259$n1526": { + "hide_name": 1, + "bits": [ 5166 ], + "attributes": { + } + }, + "$abc$39259$n1527": { + "hide_name": 1, + "bits": [ 5165 ], + "attributes": { + } + }, + "$abc$39259$n1528": { + "hide_name": 1, + "bits": [ 5173 ], + "attributes": { + } + }, + "$abc$39259$n1529": { + "hide_name": 1, + "bits": [ 5172 ], + "attributes": { + } + }, + "$abc$39259$n153": { + "hide_name": 1, + "bits": [ 6654 ], + "attributes": { + } + }, + "$abc$39259$n1530": { + "hide_name": 1, + "bits": [ 5070 ], + "attributes": { + } + }, + "$abc$39259$n1531": { + "hide_name": 1, + "bits": [ 5069 ], + "attributes": { + } + }, + "$abc$39259$n1532": { + "hide_name": 1, + "bits": [ 5008 ], + "attributes": { + } + }, + "$abc$39259$n1533": { + "hide_name": 1, + "bits": [ 5007 ], + "attributes": { + } + }, + "$abc$39259$n1534": { + "hide_name": 1, + "bits": [ 498 ], + "attributes": { + } + }, + "$abc$39259$n1535": { + "hide_name": 1, + "bits": [ 5130 ], + "attributes": { + } + }, + "$abc$39259$n1536": { + "hide_name": 1, + "bits": [ 5115 ], + "attributes": { + } + }, + "$abc$39259$n1537": { + "hide_name": 1, + "bits": [ 4999 ], + "attributes": { + } + }, + "$abc$39259$n1538": { + "hide_name": 1, + "bits": [ 5137 ], + "attributes": { + } + }, + "$abc$39259$n1539": { + "hide_name": 1, + "bits": [ 5136 ], + "attributes": { + } + }, + "$abc$39259$n154": { + "hide_name": 1, + "bits": [ 6922 ], + "attributes": { + } + }, + "$abc$39259$n1540": { + "hide_name": 1, + "bits": [ 4960 ], + "attributes": { + } + }, + "$abc$39259$n1541": { + "hide_name": 1, + "bits": [ 5176 ], + "attributes": { + } + }, + "$abc$39259$n1542": { + "hide_name": 1, + "bits": [ 5155 ], + "attributes": { + } + }, + "$abc$39259$n1543": { + "hide_name": 1, + "bits": [ 5074 ], + "attributes": { + } + }, + "$abc$39259$n1544": { + "hide_name": 1, + "bits": [ 5073 ], + "attributes": { + } + }, + "$abc$39259$n1545": { + "hide_name": 1, + "bits": [ 5033 ], + "attributes": { + } + }, + "$abc$39259$n1546": { + "hide_name": 1, + "bits": [ 4710 ], + "attributes": { + } + }, + "$abc$39259$n1547": { + "hide_name": 1, + "bits": [ 5046 ], + "attributes": { + } + }, + "$abc$39259$n1548": { + "hide_name": 1, + "bits": [ 5049 ], + "attributes": { + } + }, + "$abc$39259$n1549": { + "hide_name": 1, + "bits": [ 5543 ], + "attributes": { + } + }, + "$abc$39259$n155": { + "hide_name": 1, + "bits": [ 7272 ], + "attributes": { + } + }, + "$abc$39259$n1550": { + "hide_name": 1, + "bits": [ 4914 ], + "attributes": { + } + }, + "$abc$39259$n1551": { + "hide_name": 1, + "bits": [ 5050 ], + "attributes": { + } + }, + "$abc$39259$n1552": { + "hide_name": 1, + "bits": [ 5394 ], + "attributes": { + } + }, + "$abc$39259$n1553": { + "hide_name": 1, + "bits": [ 5043 ], + "attributes": { + } + }, + "$abc$39259$n1554": { + "hide_name": 1, + "bits": [ 5042 ], + "attributes": { + } + }, + "$abc$39259$n1555": { + "hide_name": 1, + "bits": [ 5180 ], + "attributes": { + } + }, + "$abc$39259$n1556": { + "hide_name": 1, + "bits": [ 4653 ], + "attributes": { + } + }, + "$abc$39259$n1557": { + "hide_name": 1, + "bits": [ 4898 ], + "attributes": { + } + }, + "$abc$39259$n1558": { + "hide_name": 1, + "bits": [ 4899 ], + "attributes": { + } + }, + "$abc$39259$n1559": { + "hide_name": 1, + "bits": [ 5429 ], + "attributes": { + } + }, + "$abc$39259$n156": { + "hide_name": 1, + "bits": [ 273 ], + "attributes": { + } + }, + "$abc$39259$n1560": { + "hide_name": 1, + "bits": [ 5722 ], + "attributes": { + } + }, + "$abc$39259$n1561": { + "hide_name": 1, + "bits": [ 5902 ], + "attributes": { + } + }, + "$abc$39259$n1562": { + "hide_name": 1, + "bits": [ 5732 ], + "attributes": { + } + }, + "$abc$39259$n1563": { + "hide_name": 1, + "bits": [ 5709 ], + "attributes": { + } + }, + "$abc$39259$n1564": { + "hide_name": 1, + "bits": [ 5743 ], + "attributes": { + } + }, + "$abc$39259$n1565": { + "hide_name": 1, + "bits": [ 5934 ], + "attributes": { + } + }, + "$abc$39259$n1566": { + "hide_name": 1, + "bits": [ 5651 ], + "attributes": { + } + }, + "$abc$39259$n1567": { + "hide_name": 1, + "bits": [ 404 ], + "attributes": { + } + }, + "$abc$39259$n1568": { + "hide_name": 1, + "bits": [ 5697 ], + "attributes": { + } + }, + "$abc$39259$n1569": { + "hide_name": 1, + "bits": [ 5698 ], + "attributes": { + } + }, + "$abc$39259$n157": { + "hide_name": 1, + "bits": [ 272 ], + "attributes": { + } + }, + "$abc$39259$n1570": { + "hide_name": 1, + "bits": [ 5684 ], + "attributes": { + } + }, + "$abc$39259$n1571": { + "hide_name": 1, + "bits": [ 416 ], + "attributes": { + } + }, + "$abc$39259$n1572": { + "hide_name": 1, + "bits": [ 418 ], + "attributes": { + } + }, + "$abc$39259$n1573": { + "hide_name": 1, + "bits": [ 6187 ], + "attributes": { + } + }, + "$abc$39259$n1574": { + "hide_name": 1, + "bits": [ 5309 ], + "attributes": { + } + }, + "$abc$39259$n1575": { + "hide_name": 1, + "bits": [ 5760 ], + "attributes": { + } + }, + "$abc$39259$n1576": { + "hide_name": 1, + "bits": [ 6257 ], + "attributes": { + } + }, + "$abc$39259$n158": { + "hide_name": 1, + "bits": [ 7074 ], + "attributes": { + } + }, + "$abc$39259$n159": { + "hide_name": 1, + "bits": [ 6655 ], + "attributes": { + } + }, + "$abc$39259$n160": { + "hide_name": 1, + "bits": [ 6912 ], + "attributes": { + } + }, + "$abc$39259$n161": { + "hide_name": 1, + "bits": [ 6891 ], + "attributes": { + } + }, + "$abc$39259$n1616": { + "hide_name": 1, + "bits": [ 1436 ], + "attributes": { + } + }, + "$abc$39259$n1617": { + "hide_name": 1, + "bits": [ 2591 ], + "attributes": { + } + }, + "$abc$39259$n1618": { + "hide_name": 1, + "bits": [ 3771 ], + "attributes": { + } + }, + "$abc$39259$n1619": { + "hide_name": 1, + "bits": [ 3945 ], + "attributes": { + } + }, + "$abc$39259$n162": { + "hide_name": 1, + "bits": [ 7748 ], + "attributes": { + } + }, + "$abc$39259$n1620": { + "hide_name": 1, + "bits": [ 5656 ], + "attributes": { + } + }, + "$abc$39259$n1621": { + "hide_name": 1, + "bits": [ 5918 ], + "attributes": { + } + }, + "$abc$39259$n1622": { + "hide_name": 1, + "bits": [ 7930 ], + "attributes": { + } + }, + "$abc$39259$n1623": { + "hide_name": 1, + "bits": [ 7921 ], + "attributes": { + } + }, + "$abc$39259$n163": { + "hide_name": 1, + "bits": [ 7735 ], + "attributes": { + } + }, + "$abc$39259$n1644": { + "hide_name": 1, + "bits": [ 331 ], + "attributes": { + } + }, + "$abc$39259$n1645": { + "hide_name": 1, + "bits": [ 6808 ], + "attributes": { + } + }, + "$abc$39259$n1647": { + "hide_name": 1, + "bits": [ 6759 ], + "attributes": { + } + }, + "$abc$39259$n1648": { + "hide_name": 1, + "bits": [ 5817 ], + "attributes": { + } + }, + "$abc$39259$n1649": { + "hide_name": 1, + "bits": [ 6395 ], + "attributes": { + } + }, + "$abc$39259$n165": { + "hide_name": 1, + "bits": [ 7716 ], + "attributes": { + } + }, + "$abc$39259$n1651": { + "hide_name": 1, + "bits": [ 304 ], + "attributes": { + } + }, + "$abc$39259$n1652": { + "hide_name": 1, + "bits": [ 6223 ], + "attributes": { + } + }, + "$abc$39259$n1653": { + "hide_name": 1, + "bits": [ 6373 ], + "attributes": { + } + }, + "$abc$39259$n1654": { + "hide_name": 1, + "bits": [ 6356 ], + "attributes": { + } + }, + "$abc$39259$n1655": { + "hide_name": 1, + "bits": [ 5815 ], + "attributes": { + } + }, + "$abc$39259$n1656": { + "hide_name": 1, + "bits": [ 6342 ], + "attributes": { + } + }, + "$abc$39259$n1657": { + "hide_name": 1, + "bits": [ 6325 ], + "attributes": { + } + }, + "$abc$39259$n1658": { + "hide_name": 1, + "bits": [ 5883 ], + "attributes": { + } + }, + "$abc$39259$n1659": { + "hide_name": 1, + "bits": [ 6403 ], + "attributes": { + } + }, + "$abc$39259$n166": { + "hide_name": 1, + "bits": [ 7556 ], + "attributes": { + } + }, + "$abc$39259$n1660": { + "hide_name": 1, + "bits": [ 305 ], + "attributes": { + } + }, + "$abc$39259$n1661": { + "hide_name": 1, + "bits": [ 5816 ], + "attributes": { + } + }, + "$abc$39259$n1662": { + "hide_name": 1, + "bits": [ 6369 ], + "attributes": { + } + }, + "$abc$39259$n1663": { + "hide_name": 1, + "bits": [ 6651 ], + "attributes": { + } + }, + "$abc$39259$n1664": { + "hide_name": 1, + "bits": [ 382 ], + "attributes": { + } + }, + "$abc$39259$n1665": { + "hide_name": 1, + "bits": [ 6399 ], + "attributes": { + } + }, + "$abc$39259$n1666": { + "hide_name": 1, + "bits": [ 6386 ], + "attributes": { + } + }, + "$abc$39259$n1667": { + "hide_name": 1, + "bits": [ 381 ], + "attributes": { + } + }, + "$abc$39259$n1668": { + "hide_name": 1, + "bits": [ 6400 ], + "attributes": { + } + }, + "$abc$39259$n1669": { + "hide_name": 1, + "bits": [ 6391 ], + "attributes": { + } + }, + "$abc$39259$n167": { + "hide_name": 1, + "bits": [ 7557 ], + "attributes": { + } + }, + "$abc$39259$n1670": { + "hide_name": 1, + "bits": [ 6221 ], + "attributes": { + } + }, + "$abc$39259$n1671": { + "hide_name": 1, + "bits": [ 6401 ], + "attributes": { + } + }, + "$abc$39259$n1672": { + "hide_name": 1, + "bits": [ 6375 ], + "attributes": { + } + }, + "$abc$39259$n1673": { + "hide_name": 1, + "bits": [ 6094 ], + "attributes": { + } + }, + "$abc$39259$n1674": { + "hide_name": 1, + "bits": [ 6402 ], + "attributes": { + } + }, + "$abc$39259$n1675": { + "hide_name": 1, + "bits": [ 6376 ], + "attributes": { + } + }, + "$abc$39259$n1676": { + "hide_name": 1, + "bits": [ 5888 ], + "attributes": { + } + }, + "$abc$39259$n1677": { + "hide_name": 1, + "bits": [ 6335 ], + "attributes": { + } + }, + "$abc$39259$n1678": { + "hide_name": 1, + "bits": [ 6314 ], + "attributes": { + } + }, + "$abc$39259$n1679": { + "hide_name": 1, + "bits": [ 5885 ], + "attributes": { + } + }, + "$abc$39259$n1680": { + "hide_name": 1, + "bits": [ 6336 ], + "attributes": { + } + }, + "$abc$39259$n1681": { + "hide_name": 1, + "bits": [ 6315 ], + "attributes": { + } + }, + "$abc$39259$n1682": { + "hide_name": 1, + "bits": [ 6092 ], + "attributes": { + } + }, + "$abc$39259$n1683": { + "hide_name": 1, + "bits": [ 6338 ], + "attributes": { + } + }, + "$abc$39259$n1684": { + "hide_name": 1, + "bits": [ 6789 ], + "attributes": { + } + }, + "$abc$39259$n1685": { + "hide_name": 1, + "bits": [ 353 ], + "attributes": { + } + }, + "$abc$39259$n1686": { + "hide_name": 1, + "bits": [ 351 ], + "attributes": { + } + }, + "$abc$39259$n1687": { + "hide_name": 1, + "bits": [ 6757 ], + "attributes": { + } + }, + "$abc$39259$n1688": { + "hide_name": 1, + "bits": [ 5979 ], + "attributes": { + } + }, + "$abc$39259$n1689": { + "hide_name": 1, + "bits": [ 6308 ], + "attributes": { + } + }, + "$abc$39259$n169": { + "hide_name": 1, + "bits": [ 7587 ], + "attributes": { + } + }, + "$abc$39259$n1690": { + "hide_name": 1, + "bits": [ 5879 ], + "attributes": { + } + }, + "$abc$39259$n1691": { + "hide_name": 1, + "bits": [ 5866 ], + "attributes": { + } + }, + "$abc$39259$n1693": { + "hide_name": 1, + "bits": [ 5734 ], + "attributes": { + } + }, + "$abc$39259$n1694": { + "hide_name": 1, + "bits": [ 6260 ], + "attributes": { + } + }, + "$abc$39259$n1695": { + "hide_name": 1, + "bits": [ 5847 ], + "attributes": { + } + }, + "$abc$39259$n1696": { + "hide_name": 1, + "bits": [ 5834 ], + "attributes": { + } + }, + "$abc$39259$n1697": { + "hide_name": 1, + "bits": [ 5905 ], + "attributes": { + } + }, + "$abc$39259$n1698": { + "hide_name": 1, + "bits": [ 5863 ], + "attributes": { + } + }, + "$abc$39259$n1699": { + "hide_name": 1, + "bits": [ 5850 ], + "attributes": { + } + }, + "$abc$39259$n170": { + "hide_name": 1, + "bits": [ 7100 ], + "attributes": { + } + }, + "$abc$39259$n1700": { + "hide_name": 1, + "bits": [ 5811 ], + "attributes": { + } + }, + "$abc$39259$n1701": { + "hide_name": 1, + "bits": [ 5798 ], + "attributes": { + } + }, + "$abc$39259$n1702": { + "hide_name": 1, + "bits": [ 5783 ], + "attributes": { + } + }, + "$abc$39259$n1703": { + "hide_name": 1, + "bits": [ 6241 ], + "attributes": { + } + }, + "$abc$39259$n1704": { + "hide_name": 1, + "bits": [ 6228 ], + "attributes": { + } + }, + "$abc$39259$n1705": { + "hide_name": 1, + "bits": [ 5921 ], + "attributes": { + } + }, + "$abc$39259$n1706": { + "hide_name": 1, + "bits": [ 5884 ], + "attributes": { + } + }, + "$abc$39259$n1707": { + "hide_name": 1, + "bits": [ 406 ], + "attributes": { + } + }, + "$abc$39259$n1708": { + "hide_name": 1, + "bits": [ 6159 ], + "attributes": { + } + }, + "$abc$39259$n1709": { + "hide_name": 1, + "bits": [ 6095 ], + "attributes": { + } + }, + "$abc$39259$n171": { + "hide_name": 1, + "bits": [ 7463 ], + "attributes": { + } + }, + "$abc$39259$n1710": { + "hide_name": 1, + "bits": [ 5965 ], + "attributes": { + } + }, + "$abc$39259$n1711": { + "hide_name": 1, + "bits": [ 5952 ], + "attributes": { + } + }, + "$abc$39259$n1712": { + "hide_name": 1, + "bits": [ 400 ], + "attributes": { + } + }, + "$abc$39259$n1713": { + "hide_name": 1, + "bits": [ 383 ], + "attributes": { + } + }, + "$abc$39259$n1714": { + "hide_name": 1, + "bits": [ 379 ], + "attributes": { + } + }, + "$abc$39259$n1715": { + "hide_name": 1, + "bits": [ 5987 ], + "attributes": { + } + }, + "$abc$39259$n1716": { + "hide_name": 1, + "bits": [ 5968 ], + "attributes": { + } + }, + "$abc$39259$n1717": { + "hide_name": 1, + "bits": [ 6126 ], + "attributes": { + } + }, + "$abc$39259$n1718": { + "hide_name": 1, + "bits": [ 6113 ], + "attributes": { + } + }, + "$abc$39259$n1719": { + "hide_name": 1, + "bits": [ 5692 ], + "attributes": { + } + }, + "$abc$39259$n172": { + "hide_name": 1, + "bits": [ 7712 ], + "attributes": { + } + }, + "$abc$39259$n1720": { + "hide_name": 1, + "bits": [ 6017 ], + "attributes": { + } + }, + "$abc$39259$n1721": { + "hide_name": 1, + "bits": [ 5673 ], + "attributes": { + } + }, + "$abc$39259$n1722": { + "hide_name": 1, + "bits": [ 6047 ], + "attributes": { + } + }, + "$abc$39259$n1723": { + "hide_name": 1, + "bits": [ 5415 ], + "attributes": { + } + }, + "$abc$39259$n1724": { + "hide_name": 1, + "bits": [ 5723 ], + "attributes": { + } + }, + "$abc$39259$n1725": { + "hide_name": 1, + "bits": [ 6838 ], + "attributes": { + } + }, + "$abc$39259$n1726": { + "hide_name": 1, + "bits": [ 6839 ], + "attributes": { + } + }, + "$abc$39259$n1727": { + "hide_name": 1, + "bits": [ 275 ], + "attributes": { + } + }, + "$abc$39259$n1728": { + "hide_name": 1, + "bits": [ 6436 ], + "attributes": { + } + }, + "$abc$39259$n1729": { + "hide_name": 1, + "bits": [ 6969 ], + "attributes": { + } + }, + "$abc$39259$n173": { + "hide_name": 1, + "bits": [ 7255 ], + "attributes": { + } + }, + "$abc$39259$n1730": { + "hide_name": 1, + "bits": [ 302 ], + "attributes": { + } + }, + "$abc$39259$n1731": { + "hide_name": 1, + "bits": [ 6951 ], + "attributes": { + } + }, + "$abc$39259$n1732": { + "hide_name": 1, + "bits": [ 6587 ], + "attributes": { + } + }, + "$abc$39259$n1733": { + "hide_name": 1, + "bits": [ 6574 ], + "attributes": { + } + }, + "$abc$39259$n1734": { + "hide_name": 1, + "bits": [ 6509 ], + "attributes": { + } + }, + "$abc$39259$n1735": { + "hide_name": 1, + "bits": [ 6513 ], + "attributes": { + } + }, + "$abc$39259$n1736": { + "hide_name": 1, + "bits": [ 6501 ], + "attributes": { + } + }, + "$abc$39259$n1737": { + "hide_name": 1, + "bits": [ 6696 ], + "attributes": { + } + }, + "$abc$39259$n1738": { + "hide_name": 1, + "bits": [ 6656 ], + "attributes": { + } + }, + "$abc$39259$n1739": { + "hide_name": 1, + "bits": [ 332 ], + "attributes": { + } + }, + "$abc$39259$n174": { + "hide_name": 1, + "bits": [ 7512 ], + "attributes": { + } + }, + "$abc$39259$n1740": { + "hide_name": 1, + "bits": [ 6543 ], + "attributes": { + } + }, + "$abc$39259$n1741": { + "hide_name": 1, + "bits": [ 333 ], + "attributes": { + } + }, + "$abc$39259$n1742": { + "hide_name": 1, + "bits": [ 6823 ], + "attributes": { + } + }, + "$abc$39259$n1743": { + "hide_name": 1, + "bits": [ 6768 ], + "attributes": { + } + }, + "$abc$39259$n175": { + "hide_name": 1, + "bits": [ 7789 ], + "attributes": { + } + }, + "$abc$39259$n1754": { + "hide_name": 1, + "bits": [ 2343 ], + "attributes": { + } + }, + "$abc$39259$n1755": { + "hide_name": 1, + "bits": [ 2736 ], + "attributes": { + } + }, + "$abc$39259$n1756": { + "hide_name": 1, + "bits": [ 2934 ], + "attributes": { + } + }, + "$abc$39259$n176": { + "hide_name": 1, + "bits": [ 7784 ], + "attributes": { + } + }, + "$abc$39259$n1763": { + "hide_name": 1, + "bits": [ 9198 ], + "attributes": { + } + }, + "$abc$39259$n177": { + "hide_name": 1, + "bits": [ 7782 ], + "attributes": { + } + }, + "$abc$39259$n178": { + "hide_name": 1, + "bits": [ 8003 ], + "attributes": { + } + }, + "$abc$39259$n1795": { + "hide_name": 1, + "bits": [ 9203 ], + "attributes": { + } + }, + "$abc$39259$n18": { + "hide_name": 1, + "bits": [ 99 ], + "attributes": { + } + }, + "$abc$39259$n1826": { + "hide_name": 1, + "bits": [ 7370 ], + "attributes": { + } + }, + "$abc$39259$n1827": { + "hide_name": 1, + "bits": [ 7528 ], + "attributes": { + } + }, + "$abc$39259$n1828": { + "hide_name": 1, + "bits": [ 7536 ], + "attributes": { + } + }, + "$abc$39259$n1829": { + "hide_name": 1, + "bits": [ 7537 ], + "attributes": { + } + }, + "$abc$39259$n1830": { + "hide_name": 1, + "bits": [ 7663 ], + "attributes": { + } + }, + "$abc$39259$n1831": { + "hide_name": 1, + "bits": [ 7356 ], + "attributes": { + } + }, + "$abc$39259$n1832": { + "hide_name": 1, + "bits": [ 7509 ], + "attributes": { + } + }, + "$abc$39259$n1833": { + "hide_name": 1, + "bits": [ 7488 ], + "attributes": { + } + }, + "$abc$39259$n1834": { + "hide_name": 1, + "bits": [ 7021 ], + "attributes": { + } + }, + "$abc$39259$n1835": { + "hide_name": 1, + "bits": [ 7019 ], + "attributes": { + } + }, + "$abc$39259$n1836": { + "hide_name": 1, + "bits": [ 7576 ], + "attributes": { + } + }, + "$abc$39259$n1837": { + "hide_name": 1, + "bits": [ 7000 ], + "attributes": { + } + }, + "$abc$39259$n1838": { + "hide_name": 1, + "bits": [ 6987 ], + "attributes": { + } + }, + "$abc$39259$n1839": { + "hide_name": 1, + "bits": [ 256 ], + "attributes": { + } + }, + "$abc$39259$n1840": { + "hide_name": 1, + "bits": [ 246 ], + "attributes": { + } + }, + "$abc$39259$n1841": { + "hide_name": 1, + "bits": [ 244 ], + "attributes": { + } + }, + "$abc$39259$n1842": { + "hide_name": 1, + "bits": [ 7068 ], + "attributes": { + } + }, + "$abc$39259$n1843": { + "hide_name": 1, + "bits": [ 7055 ], + "attributes": { + } + }, + "$abc$39259$n1844": { + "hide_name": 1, + "bits": [ 7038 ], + "attributes": { + } + }, + "$abc$39259$n1845": { + "hide_name": 1, + "bits": [ 6844 ], + "attributes": { + } + }, + "$abc$39259$n1846": { + "hide_name": 1, + "bits": [ 7232 ], + "attributes": { + } + }, + "$abc$39259$n1847": { + "hide_name": 1, + "bits": [ 6843 ], + "attributes": { + } + }, + "$abc$39259$n1848": { + "hide_name": 1, + "bits": [ 7217 ], + "attributes": { + } + }, + "$abc$39259$n1849": { + "hide_name": 1, + "bits": [ 7209 ], + "attributes": { + } + }, + "$abc$39259$n1850": { + "hide_name": 1, + "bits": [ 7194 ], + "attributes": { + } + }, + "$abc$39259$n1851": { + "hide_name": 1, + "bits": [ 7181 ], + "attributes": { + } + }, + "$abc$39259$n1852": { + "hide_name": 1, + "bits": [ 7097 ], + "attributes": { + } + }, + "$abc$39259$n1853": { + "hide_name": 1, + "bits": [ 7197 ], + "attributes": { + } + }, + "$abc$39259$n1854": { + "hide_name": 1, + "bits": [ 7479 ], + "attributes": { + } + }, + "$abc$39259$n1855": { + "hide_name": 1, + "bits": [ 7130 ], + "attributes": { + } + }, + "$abc$39259$n1856": { + "hide_name": 1, + "bits": [ 7117 ], + "attributes": { + } + }, + "$abc$39259$n1857": { + "hide_name": 1, + "bits": [ 7090 ], + "attributes": { + } + }, + "$abc$39259$n1858": { + "hide_name": 1, + "bits": [ 7133 ], + "attributes": { + } + }, + "$abc$39259$n1859": { + "hide_name": 1, + "bits": [ 7091 ], + "attributes": { + } + }, + "$abc$39259$n1860": { + "hide_name": 1, + "bits": [ 7433 ], + "attributes": { + } + }, + "$abc$39259$n1861": { + "hide_name": 1, + "bits": [ 7420 ], + "attributes": { + } + }, + "$abc$39259$n1862": { + "hide_name": 1, + "bits": [ 7365 ], + "attributes": { + } + }, + "$abc$39259$n1863": { + "hide_name": 1, + "bits": [ 7386 ], + "attributes": { + } + }, + "$abc$39259$n1864": { + "hide_name": 1, + "bits": [ 7373 ], + "attributes": { + } + }, + "$abc$39259$n1865": { + "hide_name": 1, + "bits": [ 7354 ], + "attributes": { + } + }, + "$abc$39259$n1866": { + "hide_name": 1, + "bits": [ 7353 ], + "attributes": { + } + }, + "$abc$39259$n1867": { + "hide_name": 1, + "bits": [ 6890 ], + "attributes": { + } + }, + "$abc$39259$n1868": { + "hide_name": 1, + "bits": [ 7405 ], + "attributes": { + } + }, + "$abc$39259$n1869": { + "hide_name": 1, + "bits": [ 6960 ], + "attributes": { + } + }, + "$abc$39259$n1870": { + "hide_name": 1, + "bits": [ 6961 ], + "attributes": { + } + }, + "$abc$39259$n1871": { + "hide_name": 1, + "bits": [ 6971 ], + "attributes": { + } + }, + "$abc$39259$n1872": { + "hide_name": 1, + "bits": [ 319 ], + "attributes": { + } + }, + "$abc$39259$n1873": { + "hide_name": 1, + "bits": [ 6962 ], + "attributes": { + } + }, + "$abc$39259$n1874": { + "hide_name": 1, + "bits": [ 6952 ], + "attributes": { + } + }, + "$abc$39259$n1875": { + "hide_name": 1, + "bits": [ 6619 ], + "attributes": { + } + }, + "$abc$39259$n1876": { + "hide_name": 1, + "bits": [ 6863 ], + "attributes": { + } + }, + "$abc$39259$n1877": { + "hide_name": 1, + "bits": [ 6622 ], + "attributes": { + } + }, + "$abc$39259$n1878": { + "hide_name": 1, + "bits": [ 6862 ], + "attributes": { + } + }, + "$abc$39259$n1879": { + "hide_name": 1, + "bits": [ 6504 ], + "attributes": { + } + }, + "$abc$39259$n1880": { + "hide_name": 1, + "bits": [ 6872 ], + "attributes": { + } + }, + "$abc$39259$n1881": { + "hide_name": 1, + "bits": [ 6865 ], + "attributes": { + } + }, + "$abc$39259$n1882": { + "hide_name": 1, + "bits": [ 7114 ], + "attributes": { + } + }, + "$abc$39259$n1883": { + "hide_name": 1, + "bits": [ 6662 ], + "attributes": { + } + }, + "$abc$39259$n1884": { + "hide_name": 1, + "bits": [ 6914 ], + "attributes": { + } + }, + "$abc$39259$n1885": { + "hide_name": 1, + "bits": [ 7334 ], + "attributes": { + } + }, + "$abc$39259$n1886": { + "hide_name": 1, + "bits": [ 6502 ], + "attributes": { + } + }, + "$abc$39259$n1887": { + "hide_name": 1, + "bits": [ 6855 ], + "attributes": { + } + }, + "$abc$39259$n1888": { + "hide_name": 1, + "bits": [ 6665 ], + "attributes": { + } + }, + "$abc$39259$n1889": { + "hide_name": 1, + "bits": [ 6915 ], + "attributes": { + } + }, + "$abc$39259$n1890": { + "hide_name": 1, + "bits": [ 7350 ], + "attributes": { + } + }, + "$abc$39259$n1891": { + "hide_name": 1, + "bits": [ 6657 ], + "attributes": { + } + }, + "$abc$39259$n1892": { + "hide_name": 1, + "bits": [ 6913 ], + "attributes": { + } + }, + "$abc$39259$n1893": { + "hide_name": 1, + "bits": [ 6892 ], + "attributes": { + } + }, + "$abc$39259$n1894": { + "hide_name": 1, + "bits": [ 6930 ], + "attributes": { + } + }, + "$abc$39259$n1895": { + "hide_name": 1, + "bits": [ 6773 ], + "attributes": { + } + }, + "$abc$39259$n1896": { + "hide_name": 1, + "bits": [ 6931 ], + "attributes": { + } + }, + "$abc$39259$n1897": { + "hide_name": 1, + "bits": [ 6938 ], + "attributes": { + } + }, + "$abc$39259$n1898": { + "hide_name": 1, + "bits": [ 6940 ], + "attributes": { + } + }, + "$abc$39259$n1899": { + "hide_name": 1, + "bits": [ 218 ], + "attributes": { + } + }, + "$abc$39259$n1900": { + "hide_name": 1, + "bits": [ 7646 ], + "attributes": { + } + }, + "$abc$39259$n1901": { + "hide_name": 1, + "bits": [ 7597 ], + "attributes": { + } + }, + "$abc$39259$n1902": { + "hide_name": 1, + "bits": [ 7661 ], + "attributes": { + } + }, + "$abc$39259$n1903": { + "hide_name": 1, + "bits": [ 7899 ], + "attributes": { + } + }, + "$abc$39259$n1904": { + "hide_name": 1, + "bits": [ 7577 ], + "attributes": { + } + }, + "$abc$39259$n1905": { + "hide_name": 1, + "bits": [ 7546 ], + "attributes": { + } + }, + "$abc$39259$n1906": { + "hide_name": 1, + "bits": [ 7574 ], + "attributes": { + } + }, + "$abc$39259$n1907": { + "hide_name": 1, + "bits": [ 7575 ], + "attributes": { + } + }, + "$abc$39259$n1908": { + "hide_name": 1, + "bits": [ 7462 ], + "attributes": { + } + }, + "$abc$39259$n1909": { + "hide_name": 1, + "bits": [ 7442 ], + "attributes": { + } + }, + "$abc$39259$n1910": { + "hide_name": 1, + "bits": [ 7092 ], + "attributes": { + } + }, + "$abc$39259$n1911": { + "hide_name": 1, + "bits": [ 7471 ], + "attributes": { + } + }, + "$abc$39259$n1912": { + "hide_name": 1, + "bits": [ 7480 ], + "attributes": { + } + }, + "$abc$39259$n1913": { + "hide_name": 1, + "bits": [ 7452 ], + "attributes": { + } + }, + "$abc$39259$n1914": { + "hide_name": 1, + "bits": [ 7764 ], + "attributes": { + } + }, + "$abc$39259$n1915": { + "hide_name": 1, + "bits": [ 7662 ], + "attributes": { + } + }, + "$abc$39259$n1920": { + "hide_name": 1, + "bits": [ 9220 ], + "attributes": { + } + }, + "$abc$39259$n1961": { + "hide_name": 1, + "bits": [ 5969 ], + "attributes": { + } + }, + "$abc$39259$n1962": { + "hide_name": 1, + "bits": [ 6404 ], + "attributes": { + } + }, + "$abc$39259$n1963": { + "hide_name": 1, + "bits": [ 6377 ], + "attributes": { + } + }, + "$abc$39259$n1975": { + "hide_name": 1, + "bits": [ 7966 ], + "attributes": { + } + }, + "$abc$39259$n1976": { + "hide_name": 1, + "bits": [ 8124 ], + "attributes": { + } + }, + "$abc$39259$n1977": { + "hide_name": 1, + "bits": [ 8205 ], + "attributes": { + } + }, + "$abc$39259$n1978": { + "hide_name": 1, + "bits": [ 8133 ], + "attributes": { + } + }, + "$abc$39259$n1979": { + "hide_name": 1, + "bits": [ 8131 ], + "attributes": { + } + }, + "$abc$39259$n1980": { + "hide_name": 1, + "bits": [ 8369 ], + "attributes": { + } + }, + "$abc$39259$n1981": { + "hide_name": 1, + "bits": [ 8071 ], + "attributes": { + } + }, + "$abc$39259$n1982": { + "hide_name": 1, + "bits": [ 8058 ], + "attributes": { + } + }, + "$abc$39259$n1983": { + "hide_name": 1, + "bits": [ 8040 ], + "attributes": { + } + }, + "$abc$39259$n1984": { + "hide_name": 1, + "bits": [ 8134 ], + "attributes": { + } + }, + "$abc$39259$n1985": { + "hide_name": 1, + "bits": [ 8132 ], + "attributes": { + } + }, + "$abc$39259$n1986": { + "hide_name": 1, + "bits": [ 8352 ], + "attributes": { + } + }, + "$abc$39259$n1987": { + "hide_name": 1, + "bits": [ 7904 ], + "attributes": { + } + }, + "$abc$39259$n1988": { + "hide_name": 1, + "bits": [ 8019 ], + "attributes": { + } + }, + "$abc$39259$n1989": { + "hide_name": 1, + "bits": [ 8116 ], + "attributes": { + } + }, + "$abc$39259$n1990": { + "hide_name": 1, + "bits": [ 8114 ], + "attributes": { + } + }, + "$abc$39259$n1991": { + "hide_name": 1, + "bits": [ 8197 ], + "attributes": { + } + }, + "$abc$39259$n1992": { + "hide_name": 1, + "bits": [ 8025 ], + "attributes": { + } + }, + "$abc$39259$n1993": { + "hide_name": 1, + "bits": [ 8023 ], + "attributes": { + } + }, + "$abc$39259$n1994": { + "hide_name": 1, + "bits": [ 8302 ], + "attributes": { + } + }, + "$abc$39259$n1995": { + "hide_name": 1, + "bits": [ 7855 ], + "attributes": { + } + }, + "$abc$39259$n1996": { + "hide_name": 1, + "bits": [ 8081 ], + "attributes": { + } + }, + "$abc$39259$n1997": { + "hide_name": 1, + "bits": [ 8249 ], + "attributes": { + } + }, + "$abc$39259$n1998": { + "hide_name": 1, + "bits": [ 7844 ], + "attributes": { + } + }, + "$abc$39259$n1999": { + "hide_name": 1, + "bits": [ 8084 ], + "attributes": { + } + }, + "$abc$39259$n2000": { + "hide_name": 1, + "bits": [ 7975 ], + "attributes": { + } + }, + "$abc$39259$n2001": { + "hide_name": 1, + "bits": [ 7974 ], + "attributes": { + } + }, + "$abc$39259$n2002": { + "hide_name": 1, + "bits": [ 7950 ], + "attributes": { + } + }, + "$abc$39259$n2003": { + "hide_name": 1, + "bits": [ 7595 ], + "attributes": { + } + }, + "$abc$39259$n2004": { + "hide_name": 1, + "bits": [ 7978 ], + "attributes": { + } + }, + "$abc$39259$n2005": { + "hide_name": 1, + "bits": [ 7951 ], + "attributes": { + } + }, + "$abc$39259$n2006": { + "hide_name": 1, + "bits": [ 7840 ], + "attributes": { + } + }, + "$abc$39259$n2007": { + "hide_name": 1, + "bits": [ 7839 ], + "attributes": { + } + }, + "$abc$39259$n2008": { + "hide_name": 1, + "bits": [ 7927 ], + "attributes": { + } + }, + "$abc$39259$n2009": { + "hide_name": 1, + "bits": [ 7926 ], + "attributes": { + } + }, + "$abc$39259$n2010": { + "hide_name": 1, + "bits": [ 142 ], + "attributes": { + } + }, + "$abc$39259$n2011": { + "hide_name": 1, + "bits": [ 174 ], + "attributes": { + } + }, + "$abc$39259$n2012": { + "hide_name": 1, + "bits": [ 154 ], + "attributes": { + } + }, + "$abc$39259$n2013": { + "hide_name": 1, + "bits": [ 7989 ], + "attributes": { + } + }, + "$abc$39259$n2014": { + "hide_name": 1, + "bits": [ 7992 ], + "attributes": { + } + }, + "$abc$39259$n2015": { + "hide_name": 1, + "bits": [ 8008 ], + "attributes": { + } + }, + "$abc$39259$n2016": { + "hide_name": 1, + "bits": [ 7993 ], + "attributes": { + } + }, + "$abc$39259$n2017": { + "hide_name": 1, + "bits": [ 7717 ], + "attributes": { + } + }, + "$abc$39259$n2018": { + "hide_name": 1, + "bits": [ 7822 ], + "attributes": { + } + }, + "$abc$39259$n2019": { + "hide_name": 1, + "bits": [ 7994 ], + "attributes": { + } + }, + "$abc$39259$n2020": { + "hide_name": 1, + "bits": [ 7939 ], + "attributes": { + } + }, + "$abc$39259$n2021": { + "hide_name": 1, + "bits": [ 7938 ], + "attributes": { + } + }, + "$abc$39259$n2022": { + "hide_name": 1, + "bits": [ 7596 ], + "attributes": { + } + }, + "$abc$39259$n2023": { + "hide_name": 1, + "bits": [ 7883 ], + "attributes": { + } + }, + "$abc$39259$n2024": { + "hide_name": 1, + "bits": [ 7798 ], + "attributes": { + } + }, + "$abc$39259$n2025": { + "hide_name": 1, + "bits": [ 7898 ], + "attributes": { + } + }, + "$abc$39259$n2026": { + "hide_name": 1, + "bits": [ 7868 ], + "attributes": { + } + }, + "$abc$39259$n2027": { + "hide_name": 1, + "bits": [ 7867 ], + "attributes": { + } + }, + "$abc$39259$n2028": { + "hide_name": 1, + "bits": [ 7783 ], + "attributes": { + } + }, + "$abc$39259$n2029": { + "hide_name": 1, + "bits": [ 7612 ], + "attributes": { + } + }, + "$abc$39259$n2030": { + "hide_name": 1, + "bits": [ 7599 ], + "attributes": { + } + }, + "$abc$39259$n2031": { + "hide_name": 1, + "bits": [ 7788 ], + "attributes": { + } + }, + "$abc$39259$n2032": { + "hide_name": 1, + "bits": [ 7876 ], + "attributes": { + } + }, + "$abc$39259$n2033": { + "hide_name": 1, + "bits": [ 204 ], + "attributes": { + } + }, + "$abc$39259$n2034": { + "hide_name": 1, + "bits": [ 202 ], + "attributes": { + } + }, + "$abc$39259$n2035": { + "hide_name": 1, + "bits": [ 7819 ], + "attributes": { + } + }, + "$abc$39259$n2036": { + "hide_name": 1, + "bits": [ 7581 ], + "attributes": { + } + }, + "$abc$39259$n2037": { + "hide_name": 1, + "bits": [ 7705 ], + "attributes": { + } + }, + "$abc$39259$n2038": { + "hide_name": 1, + "bits": [ 7704 ], + "attributes": { + } + }, + "$abc$39259$n2039": { + "hide_name": 1, + "bits": [ 7793 ], + "attributes": { + } + }, + "$abc$39259$n2040": { + "hide_name": 1, + "bits": [ 7695 ], + "attributes": { + } + }, + "$abc$39259$n2041": { + "hide_name": 1, + "bits": [ 7693 ], + "attributes": { + } + }, + "$abc$39259$n2042": { + "hide_name": 1, + "bits": [ 7946 ], + "attributes": { + } + }, + "$abc$39259$n2043": { + "hide_name": 1, + "bits": [ 7711 ], + "attributes": { + } + }, + "$abc$39259$n2044": { + "hide_name": 1, + "bits": [ 7709 ], + "attributes": { + } + }, + "$abc$39259$n2045": { + "hide_name": 1, + "bits": [ 7787 ], + "attributes": { + } + }, + "$abc$39259$n2046": { + "hide_name": 1, + "bits": [ 8204 ], + "attributes": { + } + }, + "$abc$39259$n2047": { + "hide_name": 1, + "bits": [ 103 ], + "attributes": { + } + }, + "$abc$39259$n2048": { + "hide_name": 1, + "bits": [ 8241 ], + "attributes": { + } + }, + "$abc$39259$n2049": { + "hide_name": 1, + "bits": [ 8217 ], + "attributes": { + } + }, + "$abc$39259$n2050": { + "hide_name": 1, + "bits": [ 8359 ], + "attributes": { + } + }, + "$abc$39259$n2051": { + "hide_name": 1, + "bits": [ 8882 ], + "attributes": { + } + }, + "$abc$39259$n2052": { + "hide_name": 1, + "bits": [ 8196 ], + "attributes": { + } + }, + "$abc$39259$n2053": { + "hide_name": 1, + "bits": [ 101 ], + "attributes": { + } + }, + "$abc$39259$n2054": { + "hide_name": 1, + "bits": [ 8289 ], + "attributes": { + } + }, + "$abc$39259$n2055": { + "hide_name": 1, + "bits": [ 8265 ], + "attributes": { + } + }, + "$abc$39259$n2056": { + "hide_name": 1, + "bits": [ 8015 ], + "attributes": { + } + }, + "$abc$39259$n2057": { + "hide_name": 1, + "bits": [ 8261 ], + "attributes": { + } + }, + "$abc$39259$n2058": { + "hide_name": 1, + "bits": [ 7863 ], + "attributes": { + } + }, + "$abc$39259$n2059": { + "hide_name": 1, + "bits": [ 8166 ], + "attributes": { + } + }, + "$abc$39259$n2060": { + "hide_name": 1, + "bits": [ 8147 ], + "attributes": { + } + }, + "$abc$39259$n2061": { + "hide_name": 1, + "bits": [ 7846 ], + "attributes": { + } + }, + "$abc$39259$n2062": { + "hide_name": 1, + "bits": [ 8109 ], + "attributes": { + } + }, + "$abc$39259$n2063": { + "hide_name": 1, + "bits": [ 8409 ], + "attributes": { + } + }, + "$abc$39259$n2064": { + "hide_name": 1, + "bits": [ 8394 ], + "attributes": { + } + }, + "$abc$39259$n2065": { + "hide_name": 1, + "bits": [ 115 ], + "attributes": { + } + }, + "$abc$39259$n2066": { + "hide_name": 1, + "bits": [ 112 ], + "attributes": { + } + }, + "$abc$39259$n2067": { + "hide_name": 1, + "bits": [ 100 ], + "attributes": { + } + }, + "$abc$39259$n2131": { + "hide_name": 1, + "bits": [ 9225 ], + "attributes": { + } + }, + "$abc$39259$n2155": { + "hide_name": 1, + "bits": [ 9329 ], + "attributes": { + } + }, + "$abc$39259$n2156": { + "hide_name": 1, + "bits": [ 8233 ], + "attributes": { + } + }, + "$abc$39259$n2157": { + "hide_name": 1, + "bits": [ 8797 ], + "attributes": { + } + }, + "$abc$39259$n2158": { + "hide_name": 1, + "bits": [ 8763 ], + "attributes": { + } + }, + "$abc$39259$n2159": { + "hide_name": 1, + "bits": [ 8690 ], + "attributes": { + } + }, + "$abc$39259$n2160": { + "hide_name": 1, + "bits": [ 8677 ], + "attributes": { + } + }, + "$abc$39259$n2161": { + "hide_name": 1, + "bits": [ 8625 ], + "attributes": { + } + }, + "$abc$39259$n2162": { + "hide_name": 1, + "bits": [ 88 ], + "attributes": { + } + }, + "$abc$39259$n2163": { + "hide_name": 1, + "bits": [ 86 ], + "attributes": { + } + }, + "$abc$39259$n2164": { + "hide_name": 1, + "bits": [ 9229 ], + "attributes": { + } + }, + "$abc$39259$n2165": { + "hide_name": 1, + "bits": [ 8575 ], + "attributes": { + } + }, + "$abc$39259$n2166": { + "hide_name": 1, + "bits": [ 8537 ], + "attributes": { + } + }, + "$abc$39259$n2167": { + "hide_name": 1, + "bits": [ 8812 ], + "attributes": { + } + }, + "$abc$39259$n2168": { + "hide_name": 1, + "bits": [ 8756 ], + "attributes": { + } + }, + "$abc$39259$n2169": { + "hide_name": 1, + "bits": [ 8313 ], + "attributes": { + } + }, + "$abc$39259$n2170": { + "hide_name": 1, + "bits": [ 8475 ], + "attributes": { + } + }, + "$abc$39259$n2171": { + "hide_name": 1, + "bits": [ 8435 ], + "attributes": { + } + }, + "$abc$39259$n2172": { + "hide_name": 1, + "bits": [ 8445 ], + "attributes": { + } + }, + "$abc$39259$n2173": { + "hide_name": 1, + "bits": [ 8433 ], + "attributes": { + } + }, + "$abc$39259$n2174": { + "hide_name": 1, + "bits": [ 8915 ], + "attributes": { + } + }, + "$abc$39259$n2175": { + "hide_name": 1, + "bits": [ 8902 ], + "attributes": { + } + }, + "$abc$39259$n2176": { + "hide_name": 1, + "bits": [ 8859 ], + "attributes": { + } + }, + "$abc$39259$n2177": { + "hide_name": 1, + "bits": [ 8284 ], + "attributes": { + } + }, + "$abc$39259$n2178": { + "hide_name": 1, + "bits": [ 8887 ], + "attributes": { + } + }, + "$abc$39259$n2179": { + "hide_name": 1, + "bits": [ 8858 ], + "attributes": { + } + }, + "$abc$39259$n2180": { + "hide_name": 1, + "bits": [ 8966 ], + "attributes": { + } + }, + "$abc$39259$n2181": { + "hide_name": 1, + "bits": [ 8953 ], + "attributes": { + } + }, + "$abc$39259$n2182": { + "hide_name": 1, + "bits": [ 8868 ], + "attributes": { + } + }, + "$abc$39259$n2183": { + "hide_name": 1, + "bits": [ 8935 ], + "attributes": { + } + }, + "$abc$39259$n2184": { + "hide_name": 1, + "bits": [ 8865 ], + "attributes": { + } + }, + "$abc$39259$n2185": { + "hide_name": 1, + "bits": [ 8710 ], + "attributes": { + } + }, + "$abc$39259$n2186": { + "hide_name": 1, + "bits": [ 8635 ], + "attributes": { + } + }, + "$abc$39259$n2187": { + "hide_name": 1, + "bits": [ 8276 ], + "attributes": { + } + }, + "$abc$39259$n2188": { + "hide_name": 1, + "bits": [ 8460 ], + "attributes": { + } + }, + "$abc$39259$n2189": { + "hide_name": 1, + "bits": [ 8434 ], + "attributes": { + } + }, + "$abc$39259$n2190": { + "hide_name": 1, + "bits": [ 8218 ], + "attributes": { + } + }, + "$abc$39259$n2191": { + "hide_name": 1, + "bits": [ 8560 ], + "attributes": { + } + }, + "$abc$39259$n2192": { + "hide_name": 1, + "bits": [ 8536 ], + "attributes": { + } + }, + "$abc$39259$n2193": { + "hide_name": 1, + "bits": [ 8608 ], + "attributes": { + } + }, + "$abc$39259$n2194": { + "hide_name": 1, + "bits": [ 8541 ], + "attributes": { + } + }, + "$abc$39259$n2195": { + "hide_name": 1, + "bits": [ 8260 ], + "attributes": { + } + }, + "$abc$39259$n2196": { + "hide_name": 1, + "bits": [ 8219 ], + "attributes": { + } + }, + "$abc$39259$n2197": { + "hide_name": 1, + "bits": [ 8150 ], + "attributes": { + } + }, + "$abc$39259$n2198": { + "hide_name": 1, + "bits": [ 8245 ], + "attributes": { + } + }, + "$abc$39259$n2199": { + "hide_name": 1, + "bits": [ 8413 ], + "attributes": { + } + }, + "$abc$39259$n22": { + "hide_name": 1, + "bits": [ 118 ], + "attributes": { + } + }, + "$abc$39259$n220": { + "hide_name": 1, + "bits": [ 8115 ], + "attributes": { + } + }, + "$abc$39259$n221": { + "hide_name": 1, + "bits": [ 8113 ], + "attributes": { + } + }, + "$abc$39259$n2214": { + "hide_name": 1, + "bits": [ 7818 ], + "attributes": { + } + }, + "$abc$39259$n2215": { + "hide_name": 1, + "bits": [ 143 ], + "attributes": { + } + }, + "$abc$39259$n223": { + "hide_name": 1, + "bits": [ 8242 ], + "attributes": { + } + }, + "$abc$39259$n2230": { + "hide_name": 1, + "bits": [ 9232 ], + "attributes": { + } + }, + "$abc$39259$n224": { + "hide_name": 1, + "bits": [ 7913 ], + "attributes": { + } + }, + "$abc$39259$n225": { + "hide_name": 1, + "bits": [ 8043 ], + "attributes": { + } + }, + "$abc$39259$n226": { + "hide_name": 1, + "bits": [ 8035 ], + "attributes": { + } + }, + "$abc$39259$n227": { + "hide_name": 1, + "bits": [ 7843 ], + "attributes": { + } + }, + "$abc$39259$n228": { + "hide_name": 1, + "bits": [ 8185 ], + "attributes": { + } + }, + "$abc$39259$n2286": { + "hide_name": 1, + "bits": [ 2830 ], + "attributes": { + } + }, + "$abc$39259$n2287": { + "hide_name": 1, + "bits": [ 2829 ], + "attributes": { + } + }, + "$abc$39259$n2288": { + "hide_name": 1, + "bits": [ 2780 ], + "attributes": { + } + }, + "$abc$39259$n2289": { + "hide_name": 1, + "bits": [ 2753 ], + "attributes": { + } + }, + "$abc$39259$n229": { + "hide_name": 1, + "bits": [ 8306 ], + "attributes": { + } + }, + "$abc$39259$n2290": { + "hide_name": 1, + "bits": [ 2752 ], + "attributes": { + } + }, + "$abc$39259$n2291": { + "hide_name": 1, + "bits": [ 2824 ], + "attributes": { + } + }, + "$abc$39259$n2292": { + "hide_name": 1, + "bits": [ 2808 ], + "attributes": { + } + }, + "$abc$39259$n2293": { + "hide_name": 1, + "bits": [ 3309 ], + "attributes": { + } + }, + "$abc$39259$n2294": { + "hide_name": 1, + "bits": [ 2955 ], + "attributes": { + } + }, + "$abc$39259$n2295": { + "hide_name": 1, + "bits": [ 2954 ], + "attributes": { + } + }, + "$abc$39259$n2296": { + "hide_name": 1, + "bits": [ 2905 ], + "attributes": { + } + }, + "$abc$39259$n2297": { + "hide_name": 1, + "bits": [ 2761 ], + "attributes": { + } + }, + "$abc$39259$n2298": { + "hide_name": 1, + "bits": [ 3024 ], + "attributes": { + } + }, + "$abc$39259$n2299": { + "hide_name": 1, + "bits": [ 2671 ], + "attributes": { + } + }, + "$abc$39259$n230": { + "hide_name": 1, + "bits": [ 7845 ], + "attributes": { + } + }, + "$abc$39259$n2300": { + "hide_name": 1, + "bits": [ 2884 ], + "attributes": { + } + }, + "$abc$39259$n2301": { + "hide_name": 1, + "bits": [ 2757 ], + "attributes": { + } + }, + "$abc$39259$n2302": { + "hide_name": 1, + "bits": [ 2756 ], + "attributes": { + } + }, + "$abc$39259$n2303": { + "hide_name": 1, + "bits": [ 757 ], + "attributes": { + } + }, + "$abc$39259$n2304": { + "hide_name": 1, + "bits": [ 2924 ], + "attributes": { + } + }, + "$abc$39259$n2309": { + "hide_name": 1, + "bits": [ 2624 ], + "attributes": { + } + }, + "$abc$39259$n231": { + "hide_name": 1, + "bits": [ 8080 ], + "attributes": { + } + }, + "$abc$39259$n2310": { + "hide_name": 1, + "bits": [ 2623 ], + "attributes": { + } + }, + "$abc$39259$n2311": { + "hide_name": 1, + "bits": [ 2345 ], + "attributes": { + } + }, + "$abc$39259$n2312": { + "hide_name": 1, + "bits": [ 2602 ], + "attributes": { + } + }, + "$abc$39259$n2313": { + "hide_name": 1, + "bits": [ 2737 ], + "attributes": { + } + }, + "$abc$39259$n2314": { + "hide_name": 1, + "bits": [ 2384 ], + "attributes": { + } + }, + "$abc$39259$n2315": { + "hide_name": 1, + "bits": [ 2708 ], + "attributes": { + } + }, + "$abc$39259$n2316": { + "hide_name": 1, + "bits": [ 3239 ], + "attributes": { + } + }, + "$abc$39259$n2317": { + "hide_name": 1, + "bits": [ 3192 ], + "attributes": { + } + }, + "$abc$39259$n232": { + "hide_name": 1, + "bits": [ 8290 ], + "attributes": { + } + }, + "$abc$39259$n2329": { + "hide_name": 1, + "bits": [ 9100 ], + "attributes": { + } + }, + "$abc$39259$n233": { + "hide_name": 1, + "bits": [ 7982 ], + "attributes": { + } + }, + "$abc$39259$n2334": { + "hide_name": 1, + "bits": [ 9035 ], + "attributes": { + } + }, + "$abc$39259$n2335": { + "hide_name": 1, + "bits": [ 7071 ], + "attributes": { + } + }, + "$abc$39259$n2336": { + "hide_name": 1, + "bits": [ 7436 ], + "attributes": { + } + }, + "$abc$39259$n234": { + "hide_name": 1, + "bits": [ 7981 ], + "attributes": { + } + }, + "$abc$39259$n2341": { + "hide_name": 1, + "bits": [ 9261 ], + "attributes": { + } + }, + "$abc$39259$n236": { + "hide_name": 1, + "bits": [ 7952 ], + "attributes": { + } + }, + "$abc$39259$n2363": { + "hide_name": 1, + "bits": [ 9273 ], + "attributes": { + } + }, + "$abc$39259$n2368": { + "hide_name": 1, + "bits": [ 3312 ], + "attributes": { + } + }, + "$abc$39259$n2369": { + "hide_name": 1, + "bits": [ 3280 ], + "attributes": { + } + }, + "$abc$39259$n237": { + "hide_name": 1, + "bits": [ 1219 ], + "attributes": { + } + }, + "$abc$39259$n2370": { + "hide_name": 1, + "bits": [ 9274 ], + "attributes": { + } + }, + "$abc$39259$n2372": { + "hide_name": 1, + "bits": [ 9276 ], + "attributes": { + } + }, + "$abc$39259$n2373": { + "hide_name": 1, + "bits": [ 9277 ], + "attributes": { + } + }, + "$abc$39259$n2374": { + "hide_name": 1, + "bits": [ 9278 ], + "attributes": { + } + }, + "$abc$39259$n2387": { + "hide_name": 1, + "bits": [ 6227 ], + "attributes": { + } + }, + "$abc$39259$n239": { + "hide_name": 1, + "bits": [ 1118 ], + "attributes": { + } + }, + "$abc$39259$n2395": { + "hide_name": 1, + "bits": [ 8020 ], + "attributes": { + } + }, + "$abc$39259$n2396": { + "hide_name": 1, + "bits": [ 8209 ], + "attributes": { + } + }, + "$abc$39259$n2397": { + "hide_name": 1, + "bits": [ 7949 ], + "attributes": { + } + }, + "$abc$39259$n2398": { + "hide_name": 1, + "bits": [ 8127 ], + "attributes": { + } + }, + "$abc$39259$n2399": { + "hide_name": 1, + "bits": [ 8201 ], + "attributes": { + } + }, + "$abc$39259$n241": { + "hide_name": 1, + "bits": [ 1201 ], + "attributes": { + } + }, + "$abc$39259$n243": { + "hide_name": 1, + "bits": [ 1000 ], + "attributes": { + } + }, + "$abc$39259$n245": { + "hide_name": 1, + "bits": [ 1237 ], + "attributes": { + } + }, + "$abc$39259$n2451": { + "hide_name": 1, + "bits": [ 9279 ], + "attributes": { + } + }, + "$abc$39259$n2452": { + "hide_name": 1, + "bits": [ 9280 ], + "attributes": { + } + }, + "$abc$39259$n2453": { + "hide_name": 1, + "bits": [ 9281 ], + "attributes": { + } + }, + "$abc$39259$n2455": { + "hide_name": 1, + "bits": [ 9283 ], + "attributes": { + } + }, + "$abc$39259$n2456": { + "hide_name": 1, + "bits": [ 9284 ], + "attributes": { + } + }, + "$abc$39259$n2458": { + "hide_name": 1, + "bits": [ 9286 ], + "attributes": { + } + }, + "$abc$39259$n2459": { + "hide_name": 1, + "bits": [ 9287 ], + "attributes": { + } + }, + "$abc$39259$n2461": { + "hide_name": 1, + "bits": [ 9289 ], + "attributes": { + } + }, + "$abc$39259$n2462": { + "hide_name": 1, + "bits": [ 1081 ], + "attributes": { + } + }, + "$abc$39259$n2463": { + "hide_name": 1, + "bits": [ 1415 ], + "attributes": { + } + }, + "$abc$39259$n2464": { + "hide_name": 1, + "bits": [ 1690 ], + "attributes": { + } + }, + "$abc$39259$n2465": { + "hide_name": 1, + "bits": [ 1452 ], + "attributes": { + } + }, + "$abc$39259$n2466": { + "hide_name": 1, + "bits": [ 1783 ], + "attributes": { + } + }, + "$abc$39259$n2467": { + "hide_name": 1, + "bits": [ 1473 ], + "attributes": { + } + }, + "$abc$39259$n2468": { + "hide_name": 1, + "bits": [ 1507 ], + "attributes": { + } + }, + "$abc$39259$n2469": { + "hide_name": 1, + "bits": [ 1373 ], + "attributes": { + } + }, + "$abc$39259$n247": { + "hide_name": 1, + "bits": [ 7815 ], + "attributes": { + } + }, + "$abc$39259$n2470": { + "hide_name": 1, + "bits": [ 1661 ], + "attributes": { + } + }, + "$abc$39259$n2471": { + "hide_name": 1, + "bits": [ 924 ], + "attributes": { + } + }, + "$abc$39259$n2472": { + "hide_name": 1, + "bits": [ 1823 ], + "attributes": { + } + }, + "$abc$39259$n2473": { + "hide_name": 1, + "bits": [ 1802 ], + "attributes": { + } + }, + "$abc$39259$n2477": { + "hide_name": 1, + "bits": [ 9290 ], + "attributes": { + } + }, + "$abc$39259$n2478": { + "hide_name": 1, + "bits": [ 9291 ], + "attributes": { + } + }, + "$abc$39259$n2479": { + "hide_name": 1, + "bits": [ 9292 ], + "attributes": { + } + }, + "$abc$39259$n248": { + "hide_name": 1, + "bits": [ 7814 ], + "attributes": { + } + }, + "$abc$39259$n2480": { + "hide_name": 1, + "bits": [ 9293 ], + "attributes": { + } + }, + "$abc$39259$n2481": { + "hide_name": 1, + "bits": [ 9294 ], + "attributes": { + } + }, + "$abc$39259$n2482": { + "hide_name": 1, + "bits": [ 9295 ], + "attributes": { + } + }, + "$abc$39259$n2483": { + "hide_name": 1, + "bits": [ 9296 ], + "attributes": { + } + }, + "$abc$39259$n2484": { + "hide_name": 1, + "bits": [ 1746 ], + "attributes": { + } + }, + "$abc$39259$n2485": { + "hide_name": 1, + "bits": [ 1800 ], + "attributes": { + } + }, + "$abc$39259$n2486": { + "hide_name": 1, + "bits": [ 881 ], + "attributes": { + } + }, + "$abc$39259$n2487": { + "hide_name": 1, + "bits": [ 1829 ], + "attributes": { + } + }, + "$abc$39259$n2488": { + "hide_name": 1, + "bits": [ 1828 ], + "attributes": { + } + }, + "$abc$39259$n2489": { + "hide_name": 1, + "bits": [ 1803 ], + "attributes": { + } + }, + "$abc$39259$n249": { + "hide_name": 1, + "bits": [ 156 ], + "attributes": { + } + }, + "$abc$39259$n2490": { + "hide_name": 1, + "bits": [ 2342 ], + "attributes": { + } + }, + "$abc$39259$n2491": { + "hide_name": 1, + "bits": [ 2730 ], + "attributes": { + } + }, + "$abc$39259$n2492": { + "hide_name": 1, + "bits": [ 2939 ], + "attributes": { + } + }, + "$abc$39259$n250": { + "hide_name": 1, + "bits": [ 7986 ], + "attributes": { + } + }, + "$abc$39259$n2505": { + "hide_name": 1, + "bits": [ 9297 ], + "attributes": { + } + }, + "$abc$39259$n2506": { + "hide_name": 1, + "bits": [ 9298 ], + "attributes": { + } + }, + "$abc$39259$n2507": { + "hide_name": 1, + "bits": [ 9300 ], + "attributes": { + } + }, + "$abc$39259$n2508": { + "hide_name": 1, + "bits": [ 984 ], + "attributes": { + } + }, + "$abc$39259$n2509": { + "hide_name": 1, + "bits": [ 9302 ], + "attributes": { + } + }, + "$abc$39259$n251": { + "hide_name": 1, + "bits": [ 7985 ], + "attributes": { + } + }, + "$abc$39259$n2510": { + "hide_name": 1, + "bits": [ 1147 ], + "attributes": { + } + }, + "$abc$39259$n2511": { + "hide_name": 1, + "bits": [ 1090 ], + "attributes": { + } + }, + "$abc$39259$n2512": { + "hide_name": 1, + "bits": [ 9304 ], + "attributes": { + } + }, + "$abc$39259$n2513": { + "hide_name": 1, + "bits": [ 1313 ], + "attributes": { + } + }, + "$abc$39259$n2514": { + "hide_name": 1, + "bits": [ 9015 ], + "attributes": { + } + }, + "$abc$39259$n2515": { + "hide_name": 1, + "bits": [ 1239 ], + "attributes": { + } + }, + "$abc$39259$n2517": { + "hide_name": 1, + "bits": [ 9738 ], + "attributes": { + } + }, + "$abc$39259$n2519": { + "hide_name": 1, + "bits": [ 9735 ], + "attributes": { + } + }, + "$abc$39259$n252": { + "hide_name": 1, + "bits": [ 7961 ], + "attributes": { + } + }, + "$abc$39259$n2523": { + "hide_name": 1, + "bits": [ 9299 ], + "attributes": { + } + }, + "$abc$39259$n2524": { + "hide_name": 1, + "bits": [ 983 ], + "attributes": { + } + }, + "$abc$39259$n2525": { + "hide_name": 1, + "bits": [ 9301 ], + "attributes": { + } + }, + "$abc$39259$n2526": { + "hide_name": 1, + "bits": [ 1146 ], + "attributes": { + } + }, + "$abc$39259$n2527": { + "hide_name": 1, + "bits": [ 1173 ], + "attributes": { + } + }, + "$abc$39259$n2528": { + "hide_name": 1, + "bits": [ 1474 ], + "attributes": { + } + }, + "$abc$39259$n253": { + "hide_name": 1, + "bits": [ 7807 ], + "attributes": { + } + }, + "$abc$39259$n2530": { + "hide_name": 1, + "bits": [ 9309 ], + "attributes": { + } + }, + "$abc$39259$n254": { + "hide_name": 1, + "bits": [ 7797 ], + "attributes": { + } + }, + "$abc$39259$n255": { + "hide_name": 1, + "bits": [ 8191 ], + "attributes": { + } + }, + "$abc$39259$n256": { + "hide_name": 1, + "bits": [ 7718 ], + "attributes": { + } + }, + "$abc$39259$n2568": { + "hide_name": 1, + "bits": [ 9310 ], + "attributes": { + } + }, + "$abc$39259$n2569": { + "hide_name": 1, + "bits": [ 9311 ], + "attributes": { + } + }, + "$abc$39259$n257": { + "hide_name": 1, + "bits": [ 7882 ], + "attributes": { + } + }, + "$abc$39259$n2571": { + "hide_name": 1, + "bits": [ 9312 ], + "attributes": { + } + }, + "$abc$39259$n258": { + "hide_name": 1, + "bits": [ 8026 ], + "attributes": { + } + }, + "$abc$39259$n259": { + "hide_name": 1, + "bits": [ 7545 ], + "attributes": { + } + }, + "$abc$39259$n2590": { + "hide_name": 1, + "bits": [ 3405 ], + "attributes": { + } + }, + "$abc$39259$n2591": { + "hide_name": 1, + "bits": [ 3392 ], + "attributes": { + } + }, + "$abc$39259$n2592": { + "hide_name": 1, + "bits": [ 3389 ], + "attributes": { + } + }, + "$abc$39259$n2593": { + "hide_name": 1, + "bits": [ 9314 ], + "attributes": { + } + }, + "$abc$39259$n2594": { + "hide_name": 1, + "bits": [ 1349 ], + "attributes": { + } + }, + "$abc$39259$n2595": { + "hide_name": 1, + "bits": [ 1310 ], + "attributes": { + } + }, + "$abc$39259$n2596": { + "hide_name": 1, + "bits": [ 5446 ], + "attributes": { + } + }, + "$abc$39259$n26": { + "hide_name": 1, + "bits": [ 153 ], + "attributes": { + } + }, + "$abc$39259$n260": { + "hide_name": 1, + "bits": [ 7585 ], + "attributes": { + } + }, + "$abc$39259$n261": { + "hide_name": 1, + "bits": [ 7931 ], + "attributes": { + } + }, + "$abc$39259$n262": { + "hide_name": 1, + "bits": [ 7555 ], + "attributes": { + } + }, + "$abc$39259$n2625": { + "hide_name": 1, + "bits": [ 1385 ], + "attributes": { + } + }, + "$abc$39259$n2626": { + "hide_name": 1, + "bits": [ 1677 ], + "attributes": { + } + }, + "$abc$39259$n2627": { + "hide_name": 1, + "bits": [ 9341 ], + "attributes": { + } + }, + "$abc$39259$n2628": { + "hide_name": 1, + "bits": [ 9342 ], + "attributes": { + } + }, + "$abc$39259$n2629": { + "hide_name": 1, + "bits": [ 9343 ], + "attributes": { + } + }, + "$abc$39259$n263": { + "hide_name": 1, + "bits": [ 7631 ], + "attributes": { + } + }, + "$abc$39259$n2630": { + "hide_name": 1, + "bits": [ 9344 ], + "attributes": { + } + }, + "$abc$39259$n2631": { + "hide_name": 1, + "bits": [ 9345 ], + "attributes": { + } + }, + "$abc$39259$n2632": { + "hide_name": 1, + "bits": [ 9346 ], + "attributes": { + } + }, + "$abc$39259$n2633": { + "hide_name": 1, + "bits": [ 9347 ], + "attributes": { + } + }, + "$abc$39259$n2634": { + "hide_name": 1, + "bits": [ 9437 ], + "attributes": { + } + }, + "$abc$39259$n264": { + "hide_name": 1, + "bits": [ 7598 ], + "attributes": { + } + }, + "$abc$39259$n265": { + "hide_name": 1, + "bits": [ 7592 ], + "attributes": { + } + }, + "$abc$39259$n266": { + "hide_name": 1, + "bits": [ 7590 ], + "attributes": { + } + }, + "$abc$39259$n267": { + "hide_name": 1, + "bits": [ 177 ], + "attributes": { + } + }, + "$abc$39259$n2679": { + "hide_name": 1, + "bits": [ 7250 ], + "attributes": { + } + }, + "$abc$39259$n268": { + "hide_name": 1, + "bits": [ 7706 ], + "attributes": { + } + }, + "$abc$39259$n2680": { + "hide_name": 1, + "bits": [ 7511 ], + "attributes": { + } + }, + "$abc$39259$n2681": { + "hide_name": 1, + "bits": [ 7490 ], + "attributes": { + } + }, + "$abc$39259$n269": { + "hide_name": 1, + "bits": [ 7703 ], + "attributes": { + } + }, + "$abc$39259$n270": { + "hide_name": 1, + "bits": [ 7935 ], + "attributes": { + } + }, + "$abc$39259$n2701": { + "hide_name": 1, + "bits": [ 21 ], + "attributes": { + } + }, + "$abc$39259$n2702": { + "hide_name": 1, + "bits": [ 28 ], + "attributes": { + } + }, + "$abc$39259$n2703": { + "hide_name": 1, + "bits": [ 36 ], + "attributes": { + } + }, + "$abc$39259$n2704": { + "hide_name": 1, + "bits": [ 39 ], + "attributes": { + } + }, + "$abc$39259$n2705": { + "hide_name": 1, + "bits": [ 37 ], + "attributes": { + } + }, + "$abc$39259$n2706": { + "hide_name": 1, + "bits": [ 33 ], + "attributes": { + } + }, + "$abc$39259$n2707": { + "hide_name": 1, + "bits": [ 31 ], + "attributes": { + } + }, + "$abc$39259$n2708": { + "hide_name": 1, + "bits": [ 29 ], + "attributes": { + } + }, + "$abc$39259$n2709": { + "hide_name": 1, + "bits": [ 46 ], + "attributes": { + } + }, + "$abc$39259$n271": { + "hide_name": 1, + "bits": [ 8391 ], + "attributes": { + } + }, + "$abc$39259$n2710": { + "hide_name": 1, + "bits": [ 49 ], + "attributes": { + } + }, + "$abc$39259$n2711": { + "hide_name": 1, + "bits": [ 47 ], + "attributes": { + } + }, + "$abc$39259$n2712": { + "hide_name": 1, + "bits": [ 45 ], + "attributes": { + } + }, + "$abc$39259$n2713": { + "hide_name": 1, + "bits": [ 27 ], + "attributes": { + } + }, + "$abc$39259$n2714": { + "hide_name": 1, + "bits": [ 20 ], + "attributes": { + } + }, + "$abc$39259$n2715": { + "hide_name": 1, + "bits": [ 526 ], + "attributes": { + } + }, + "$abc$39259$n2715_1": { + "hide_name": 1, + "bits": [ 51 ], + "attributes": { + } + }, + "$abc$39259$n2716": { + "hide_name": 1, + "bits": [ 523 ], + "attributes": { + } + }, + "$abc$39259$n2716_1": { + "hide_name": 1, + "bits": [ 54 ], + "attributes": { + } + }, + "$abc$39259$n2717": { + "hide_name": 1, + "bits": [ 24 ], + "attributes": { + } + }, + "$abc$39259$n2718": { + "hide_name": 1, + "bits": [ 60 ], + "attributes": { + } + }, + "$abc$39259$n2719": { + "hide_name": 1, + "bits": [ 58 ], + "attributes": { + } + }, + "$abc$39259$n272": { + "hide_name": 1, + "bits": [ 8376 ], + "attributes": { + } + }, + "$abc$39259$n2720": { + "hide_name": 1, + "bits": [ 19 ], + "attributes": { + } + }, + "$abc$39259$n2722": { + "hide_name": 1, + "bits": [ 85 ], + "attributes": { + } + }, + "$abc$39259$n2723": { + "hide_name": 1, + "bits": [ 81 ], + "attributes": { + } + }, + "$abc$39259$n2724": { + "hide_name": 1, + "bits": [ 92 ], + "attributes": { + } + }, + "$abc$39259$n2725": { + "hide_name": 1, + "bits": [ 96 ], + "attributes": { + } + }, + "$abc$39259$n2727": { + "hide_name": 1, + "bits": [ 113 ], + "attributes": { + } + }, + "$abc$39259$n2728": { + "hide_name": 1, + "bits": [ 119 ], + "attributes": { + } + }, + "$abc$39259$n2729": { + "hide_name": 1, + "bits": [ 146 ], + "attributes": { + } + }, + "$abc$39259$n2730": { + "hide_name": 1, + "bits": [ 150 ], + "attributes": { + } + }, + "$abc$39259$n2732": { + "hide_name": 1, + "bits": [ 6418 ], + "attributes": { + } + }, + "$abc$39259$n2732_1": { + "hide_name": 1, + "bits": [ 175 ], + "attributes": { + } + }, + "$abc$39259$n2733": { + "hide_name": 1, + "bits": [ 6405 ], + "attributes": { + } + }, + "$abc$39259$n2733_1": { + "hide_name": 1, + "bits": [ 181 ], + "attributes": { + } + }, + "$abc$39259$n2734": { + "hide_name": 1, + "bits": [ 314 ], + "attributes": { + } + }, + "$abc$39259$n2734_1": { + "hide_name": 1, + "bits": [ 208 ], + "attributes": { + } + }, + "$abc$39259$n2735": { + "hide_name": 1, + "bits": [ 212 ], + "attributes": { + } + }, + "$abc$39259$n2737": { + "hide_name": 1, + "bits": [ 221 ], + "attributes": { + } + }, + "$abc$39259$n2738": { + "hide_name": 1, + "bits": [ 223 ], + "attributes": { + } + }, + "$abc$39259$n2739": { + "hide_name": 1, + "bits": [ 261 ], + "attributes": { + } + }, + "$abc$39259$n274": { + "hide_name": 1, + "bits": [ 8555 ], + "attributes": { + } + }, + "$abc$39259$n2740": { + "hide_name": 1, + "bits": [ 268 ], + "attributes": { + } + }, + "$abc$39259$n2741": { + "hide_name": 1, + "bits": [ 278 ], + "attributes": { + } + }, + "$abc$39259$n2742": { + "hide_name": 1, + "bits": [ 279 ], + "attributes": { + } + }, + "$abc$39259$n2743_1": { + "hide_name": 1, + "bits": [ 281 ], + "attributes": { + } + }, + "$abc$39259$n2744": { + "hide_name": 1, + "bits": [ 322 ], + "attributes": { + } + }, + "$abc$39259$n2745": { + "hide_name": 1, + "bits": [ 326 ], + "attributes": { + } + }, + "$abc$39259$n2746_1": { + "hide_name": 1, + "bits": [ 350 ], + "attributes": { + } + }, + "$abc$39259$n2747": { + "hide_name": 1, + "bits": [ 357 ], + "attributes": { + } + }, + "$abc$39259$n2748": { + "hide_name": 1, + "bits": [ 375 ], + "attributes": { + } + }, + "$abc$39259$n275": { + "hide_name": 1, + "bits": [ 8347 ], + "attributes": { + } + }, + "$abc$39259$n2750_1": { + "hide_name": 1, + "bits": [ 384 ], + "attributes": { + } + }, + "$abc$39259$n2751": { + "hide_name": 1, + "bits": [ 407 ], + "attributes": { + } + }, + "$abc$39259$n2752_1": { + "hide_name": 1, + "bits": [ 424 ], + "attributes": { + } + }, + "$abc$39259$n2753": { + "hide_name": 1, + "bits": [ 428 ], + "attributes": { + } + }, + "$abc$39259$n2754": { + "hide_name": 1, + "bits": [ 469 ], + "attributes": { + } + }, + "$abc$39259$n2755": { + "hide_name": 1, + "bits": [ 473 ], + "attributes": { + } + }, + "$abc$39259$n2756_1": { + "hide_name": 1, + "bits": [ 497 ], + "attributes": { + } + }, + "$abc$39259$n2757": { + "hide_name": 1, + "bits": [ 500 ], + "attributes": { + } + }, + "$abc$39259$n2758_1": { + "hide_name": 1, + "bits": [ 519 ], + "attributes": { + } + }, + "$abc$39259$n2759": { + "hide_name": 1, + "bits": [ 543 ], + "attributes": { + } + }, + "$abc$39259$n276": { + "hide_name": 1, + "bits": [ 8337 ], + "attributes": { + } + }, + "$abc$39259$n2760": { + "hide_name": 1, + "bits": [ 9259 ], + "attributes": { + } + }, + "$abc$39259$n2760_1": { + "hide_name": 1, + "bits": [ 547 ], + "attributes": { + } + }, + "$abc$39259$n2761": { + "hide_name": 1, + "bits": [ 8994 ], + "attributes": { + } + }, + "$abc$39259$n2762": { + "hide_name": 1, + "bits": [ 573 ], + "attributes": { + } + }, + "$abc$39259$n2763": { + "hide_name": 1, + "bits": [ 9160 ], + "attributes": { + } + }, + "$abc$39259$n2763_1": { + "hide_name": 1, + "bits": [ 579 ], + "attributes": { + } + }, + "$abc$39259$n2764": { + "hide_name": 1, + "bits": [ 603 ], + "attributes": { + } + }, + "$abc$39259$n2765": { + "hide_name": 1, + "bits": [ 9393 ], + "attributes": { + } + }, + "$abc$39259$n2765_1": { + "hide_name": 1, + "bits": [ 607 ], + "attributes": { + } + }, + "$abc$39259$n2767": { + "hide_name": 1, + "bits": [ 9511 ], + "attributes": { + } + }, + "$abc$39259$n2767_1": { + "hide_name": 1, + "bits": [ 632 ], + "attributes": { + } + }, + "$abc$39259$n2768": { + "hide_name": 1, + "bits": [ 9806 ], + "attributes": { + } + }, + "$abc$39259$n2768_1": { + "hide_name": 1, + "bits": [ 638 ], + "attributes": { + } + }, + "$abc$39259$n2769": { + "hide_name": 1, + "bits": [ 662 ], + "attributes": { + } + }, + "$abc$39259$n277": { + "hide_name": 1, + "bits": [ 8948 ], + "attributes": { + } + }, + "$abc$39259$n2770": { + "hide_name": 1, + "bits": [ 665 ], + "attributes": { + } + }, + "$abc$39259$n2771": { + "hide_name": 1, + "bits": [ 9828 ], + "attributes": { + } + }, + "$abc$39259$n2772": { + "hide_name": 1, + "bits": [ 690 ], + "attributes": { + } + }, + "$abc$39259$n2773": { + "hide_name": 1, + "bits": [ 708 ], + "attributes": { + } + }, + "$abc$39259$n2775": { + "hide_name": 1, + "bits": [ 725 ], + "attributes": { + } + }, + "$abc$39259$n2777": { + "hide_name": 1, + "bits": [ 767 ], + "attributes": { + } + }, + "$abc$39259$n2778": { + "hide_name": 1, + "bits": [ 773 ], + "attributes": { + } + }, + "$abc$39259$n2779": { + "hide_name": 1, + "bits": [ 813 ], + "attributes": { + } + }, + "$abc$39259$n278": { + "hide_name": 1, + "bits": [ 8294 ], + "attributes": { + } + }, + "$abc$39259$n2780": { + "hide_name": 1, + "bits": [ 817 ], + "attributes": { + } + }, + "$abc$39259$n2782": { + "hide_name": 1, + "bits": [ 829 ], + "attributes": { + } + }, + "$abc$39259$n2783": { + "hide_name": 1, + "bits": [ 835 ], + "attributes": { + } + }, + "$abc$39259$n2785": { + "hide_name": 1, + "bits": [ 9256 ], + "attributes": { + } + }, + "$abc$39259$n2785_1": { + "hide_name": 1, + "bits": [ 844 ], + "attributes": { + } + }, + "$abc$39259$n2786": { + "hide_name": 1, + "bits": [ 8991 ], + "attributes": { + } + }, + "$abc$39259$n2786_1": { + "hide_name": 1, + "bits": [ 884 ], + "attributes": { + } + }, + "$abc$39259$n2787": { + "hide_name": 1, + "bits": [ 891 ], + "attributes": { + } + }, + "$abc$39259$n2788": { + "hide_name": 1, + "bits": [ 9158 ], + "attributes": { + } + }, + "$abc$39259$n2788_1": { + "hide_name": 1, + "bits": [ 909 ], + "attributes": { + } + }, + "$abc$39259$n2789": { + "hide_name": 1, + "bits": [ 9391 ], + "attributes": { + } + }, + "$abc$39259$n279": { + "hide_name": 1, + "bits": [ 8293 ], + "attributes": { + } + }, + "$abc$39259$n2790": { + "hide_name": 1, + "bits": [ 926 ], + "attributes": { + } + }, + "$abc$39259$n2791": { + "hide_name": 1, + "bits": [ 9783 ], + "attributes": { + } + }, + "$abc$39259$n2792": { + "hide_name": 1, + "bits": [ 941 ], + "attributes": { + } + }, + "$abc$39259$n2793": { + "hide_name": 1, + "bits": [ 9414 ], + "attributes": { + } + }, + "$abc$39259$n2793_1": { + "hide_name": 1, + "bits": [ 959 ], + "attributes": { + } + }, + "$abc$39259$n2799": { + "hide_name": 1, + "bits": [ 3912 ], + "attributes": { + } + }, + "$abc$39259$n2799_1": { + "hide_name": 1, + "bits": [ 942 ], + "attributes": { + } + }, + "$abc$39259$n280": { + "hide_name": 1, + "bits": [ 8266 ], + "attributes": { + } + }, + "$abc$39259$n2803": { + "hide_name": 1, + "bits": [ 62 ], + "attributes": { + } + }, + "$abc$39259$n2805": { + "hide_name": 1, + "bits": [ 943 ], + "attributes": { + } + }, + "$abc$39259$n281": { + "hide_name": 1, + "bits": [ 173 ], + "attributes": { + } + }, + "$abc$39259$n2812_1": { + "hide_name": 1, + "bits": [ 944 ], + "attributes": { + } + }, + "$abc$39259$n2814": { + "hide_name": 1, + "bits": [ 7275 ], + "attributes": { + } + }, + "$abc$39259$n2815": { + "hide_name": 1, + "bits": [ 7248 ], + "attributes": { + } + }, + "$abc$39259$n282": { + "hide_name": 1, + "bits": [ 8128 ], + "attributes": { + } + }, + "$abc$39259$n2820": { + "hide_name": 1, + "bits": [ 945 ], + "attributes": { + } + }, + "$abc$39259$n2825": { + "hide_name": 1, + "bits": [ 841 ], + "attributes": { + } + }, + "$abc$39259$n2825_1": { + "hide_name": 1, + "bits": [ 954 ], + "attributes": { + } + }, + "$abc$39259$n283": { + "hide_name": 1, + "bits": [ 8422 ], + "attributes": { + } + }, + "$abc$39259$n2832": { + "hide_name": 1, + "bits": [ 927 ], + "attributes": { + } + }, + "$abc$39259$n2833": { + "hide_name": 1, + "bits": [ 1357 ], + "attributes": { + } + }, + "$abc$39259$n2834": { + "hide_name": 1, + "bits": [ 1358 ], + "attributes": { + } + }, + "$abc$39259$n2835": { + "hide_name": 1, + "bits": [ 1359 ], + "attributes": { + } + }, + "$abc$39259$n2836": { + "hide_name": 1, + "bits": [ 1360 ], + "attributes": { + } + }, + "$abc$39259$n2837": { + "hide_name": 1, + "bits": [ 1365 ], + "attributes": { + } + }, + "$abc$39259$n2838": { + "hide_name": 1, + "bits": [ 1368 ], + "attributes": { + } + }, + "$abc$39259$n2839": { + "hide_name": 1, + "bits": [ 928 ], + "attributes": { + } + }, + "$abc$39259$n284": { + "hide_name": 1, + "bits": [ 8386 ], + "attributes": { + } + }, + "$abc$39259$n2840": { + "hide_name": 1, + "bits": [ 1393 ], + "attributes": { + } + }, + "$abc$39259$n2841": { + "hide_name": 1, + "bits": [ 1394 ], + "attributes": { + } + }, + "$abc$39259$n2842": { + "hide_name": 1, + "bits": [ 1395 ], + "attributes": { + } + }, + "$abc$39259$n2843": { + "hide_name": 1, + "bits": [ 1396 ], + "attributes": { + } + }, + "$abc$39259$n2844": { + "hide_name": 1, + "bits": [ 1405 ], + "attributes": { + } + }, + "$abc$39259$n2845": { + "hide_name": 1, + "bits": [ 1410 ], + "attributes": { + } + }, + "$abc$39259$n2846": { + "hide_name": 1, + "bits": [ 1413 ], + "attributes": { + } + }, + "$abc$39259$n285": { + "hide_name": 1, + "bits": [ 8377 ], + "attributes": { + } + }, + "$abc$39259$n2858": { + "hide_name": 1, + "bits": [ 892 ], + "attributes": { + } + }, + "$abc$39259$n286": { + "hide_name": 1, + "bits": [ 8588 ], + "attributes": { + } + }, + "$abc$39259$n2873": { + "hide_name": 1, + "bits": [ 893 ], + "attributes": { + } + }, + "$abc$39259$n2886": { + "hide_name": 1, + "bits": [ 9441 ], + "attributes": { + } + }, + "$abc$39259$n2887": { + "hide_name": 1, + "bits": [ 9442 ], + "attributes": { + } + }, + "$abc$39259$n2888": { + "hide_name": 1, + "bits": [ 894 ], + "attributes": { + } + }, + "$abc$39259$n2889": { + "hide_name": 1, + "bits": [ 1708 ], + "attributes": { + } + }, + "$abc$39259$n2893": { + "hide_name": 1, + "bits": [ 1691 ], + "attributes": { + } + }, + "$abc$39259$n2895": { + "hide_name": 1, + "bits": [ 895 ], + "attributes": { + } + }, + "$abc$39259$n2899": { + "hide_name": 1, + "bits": [ 904 ], + "attributes": { + } + }, + "$abc$39259$n2900": { + "hide_name": 1, + "bits": [ 9039 ], + "attributes": { + } + }, + "$abc$39259$n2901": { + "hide_name": 1, + "bits": [ 6304 ], + "attributes": { + } + }, + "$abc$39259$n2902": { + "hide_name": 1, + "bits": [ 6291 ], + "attributes": { + } + }, + "$abc$39259$n2903": { + "hide_name": 1, + "bits": [ 5674 ], + "attributes": { + } + }, + "$abc$39259$n2904": { + "hide_name": 1, + "bits": [ 6032 ], + "attributes": { + } + }, + "$abc$39259$n2905": { + "hide_name": 1, + "bits": [ 5970 ], + "attributes": { + } + }, + "$abc$39259$n2916_1": { + "hide_name": 1, + "bits": [ 845 ], + "attributes": { + } + }, + "$abc$39259$n2919_1": { + "hide_name": 1, + "bits": [ 1818 ], + "attributes": { + } + }, + "$abc$39259$n2925_1": { + "hide_name": 1, + "bits": [ 846 ], + "attributes": { + } + }, + "$abc$39259$n2929": { + "hide_name": 1, + "bits": [ 4614 ], + "attributes": { + } + }, + "$abc$39259$n2930": { + "hide_name": 1, + "bits": [ 4593 ], + "attributes": { + } + }, + "$abc$39259$n2931": { + "hide_name": 1, + "bits": [ 4583 ], + "attributes": { + } + }, + "$abc$39259$n2932": { + "hide_name": 1, + "bits": [ 4832 ], + "attributes": { + } + }, + "$abc$39259$n2933": { + "hide_name": 1, + "bits": [ 4563 ], + "attributes": { + } + }, + "$abc$39259$n2934": { + "hide_name": 1, + "bits": [ 4670 ], + "attributes": { + } + }, + "$abc$39259$n2934_1": { + "hide_name": 1, + "bits": [ 1853 ], + "attributes": { + } + }, + "$abc$39259$n2935": { + "hide_name": 1, + "bits": [ 4662 ], + "attributes": { + } + }, + "$abc$39259$n2935_1": { + "hide_name": 1, + "bits": [ 855 ], + "attributes": { + } + }, + "$abc$39259$n2939": { + "hide_name": 1, + "bits": [ 1886 ], + "attributes": { + } + }, + "$abc$39259$n2950": { + "hide_name": 1, + "bits": [ 836 ], + "attributes": { + } + }, + "$abc$39259$n2951": { + "hide_name": 1, + "bits": [ 1962 ], + "attributes": { + } + }, + "$abc$39259$n2955": { + "hide_name": 1, + "bits": [ 837 ], + "attributes": { + } + }, + "$abc$39259$n2959": { + "hide_name": 1, + "bits": [ 9450 ], + "attributes": { + } + }, + "$abc$39259$n2960": { + "hide_name": 1, + "bits": [ 9451 ], + "attributes": { + } + }, + "$abc$39259$n2961": { + "hide_name": 1, + "bits": [ 9452 ], + "attributes": { + } + }, + "$abc$39259$n2962": { + "hide_name": 1, + "bits": [ 9453 ], + "attributes": { + } + }, + "$abc$39259$n2962_1": { + "hide_name": 1, + "bits": [ 838 ], + "attributes": { + } + }, + "$abc$39259$n2965_1": { + "hide_name": 1, + "bits": [ 830 ], + "attributes": { + } + }, + "$abc$39259$n2966_1": { + "hide_name": 1, + "bits": [ 2112 ], + "attributes": { + } + }, + "$abc$39259$n2969_1": { + "hide_name": 1, + "bits": [ 2113 ], + "attributes": { + } + }, + "$abc$39259$n2974": { + "hide_name": 1, + "bits": [ 2122 ], + "attributes": { + } + }, + "$abc$39259$n2976": { + "hide_name": 1, + "bits": [ 2127 ], + "attributes": { + } + }, + "$abc$39259$n2983": { + "hide_name": 1, + "bits": [ 2130 ], + "attributes": { + } + }, + "$abc$39259$n2992": { + "hide_name": 1, + "bits": [ 814 ], + "attributes": { + } + }, + "$abc$39259$n30": { + "hide_name": 1, + "bits": [ 180 ], + "attributes": { + } + }, + "$abc$39259$n3000": { + "hide_name": 1, + "bits": [ 815 ], + "attributes": { + } + }, + "$abc$39259$n3001": { + "hide_name": 1, + "bits": [ 2392 ], + "attributes": { + } + }, + "$abc$39259$n3003": { + "hide_name": 1, + "bits": [ 2395 ], + "attributes": { + } + }, + "$abc$39259$n3009": { + "hide_name": 1, + "bits": [ 816 ], + "attributes": { + } + }, + "$abc$39259$n3011": { + "hide_name": 1, + "bits": [ 2444 ], + "attributes": { + } + }, + "$abc$39259$n3014": { + "hide_name": 1, + "bits": [ 2445 ], + "attributes": { + } + }, + "$abc$39259$n3016": { + "hide_name": 1, + "bits": [ 2454 ], + "attributes": { + } + }, + "$abc$39259$n3017": { + "hide_name": 1, + "bits": [ 2459 ], + "attributes": { + } + }, + "$abc$39259$n3020": { + "hide_name": 1, + "bits": [ 2462 ], + "attributes": { + } + }, + "$abc$39259$n3026": { + "hide_name": 1, + "bits": [ 774 ], + "attributes": { + } + }, + "$abc$39259$n3028": { + "hide_name": 1, + "bits": [ 775 ], + "attributes": { + } + }, + "$abc$39259$n3029": { + "hide_name": 1, + "bits": [ 776 ], + "attributes": { + } + }, + "$abc$39259$n3030": { + "hide_name": 1, + "bits": [ 785 ], + "attributes": { + } + }, + "$abc$39259$n3032": { + "hide_name": 1, + "bits": [ 790 ], + "attributes": { + } + }, + "$abc$39259$n3035": { + "hide_name": 1, + "bits": [ 793 ], + "attributes": { + } + }, + "$abc$39259$n3038": { + "hide_name": 1, + "bits": [ 768 ], + "attributes": { + } + }, + "$abc$39259$n3039": { + "hide_name": 1, + "bits": [ 2632 ], + "attributes": { + } + }, + "$abc$39259$n3044": { + "hide_name": 1, + "bits": [ 2633 ], + "attributes": { + } + }, + "$abc$39259$n3045": { + "hide_name": 1, + "bits": [ 2634 ], + "attributes": { + } + }, + "$abc$39259$n3047": { + "hide_name": 1, + "bits": [ 2635 ], + "attributes": { + } + }, + "$abc$39259$n3049": { + "hide_name": 1, + "bits": [ 2644 ], + "attributes": { + } + }, + "$abc$39259$n3050": { + "hide_name": 1, + "bits": [ 2649 ], + "attributes": { + } + }, + "$abc$39259$n3052": { + "hide_name": 1, + "bits": [ 2652 ], + "attributes": { + } + }, + "$abc$39259$n3055": { + "hide_name": 1, + "bits": [ 827 ], + "attributes": { + } + }, + "$abc$39259$n3063": { + "hide_name": 1, + "bits": [ 765 ], + "attributes": { + } + }, + "$abc$39259$n3065": { + "hide_name": 1, + "bits": [ 726 ], + "attributes": { + } + }, + "$abc$39259$n3070": { + "hide_name": 1, + "bits": [ 2797 ], + "attributes": { + } + }, + "$abc$39259$n3071": { + "hide_name": 1, + "bits": [ 2823 ], + "attributes": { + } + }, + "$abc$39259$n3083": { + "hide_name": 1, + "bits": [ 2843 ], + "attributes": { + } + }, + "$abc$39259$n3085": { + "hide_name": 1, + "bits": [ 9454 ], + "attributes": { + } + }, + "$abc$39259$n3085_1": { + "hide_name": 1, + "bits": [ 727 ], + "attributes": { + } + }, + "$abc$39259$n3096": { + "hide_name": 1, + "bits": [ 2864 ], + "attributes": { + } + }, + "$abc$39259$n3098": { + "hide_name": 1, + "bits": [ 736 ], + "attributes": { + } + }, + "$abc$39259$n3099": { + "hide_name": 1, + "bits": [ 2920 ], + "attributes": { + } + }, + "$abc$39259$n3104": { + "hide_name": 1, + "bits": [ 1478 ], + "attributes": { + } + }, + "$abc$39259$n3105": { + "hide_name": 1, + "bits": [ 1374 ], + "attributes": { + } + }, + "$abc$39259$n3106": { + "hide_name": 1, + "bits": [ 1669 ], + "attributes": { + } + }, + "$abc$39259$n3107": { + "hide_name": 1, + "bits": [ 795 ], + "attributes": { + } + }, + "$abc$39259$n3108": { + "hide_name": 1, + "bits": [ 2690 ], + "attributes": { + } + }, + "$abc$39259$n3109": { + "hide_name": 1, + "bits": [ 3958 ], + "attributes": { + } + }, + "$abc$39259$n3110": { + "hide_name": 1, + "bits": [ 3948 ], + "attributes": { + } + }, + "$abc$39259$n3111": { + "hide_name": 1, + "bits": [ 5657 ], + "attributes": { + } + }, + "$abc$39259$n3112": { + "hide_name": 1, + "bits": [ 7628 ], + "attributes": { + } + }, + "$abc$39259$n3113": { + "hide_name": 1, + "bits": [ 7615 ], + "attributes": { + } + }, + "$abc$39259$n3114": { + "hide_name": 1, + "bits": [ 216 ], + "attributes": { + } + }, + "$abc$39259$n3115": { + "hide_name": 1, + "bits": [ 7582 ], + "attributes": { + } + }, + "$abc$39259$n3116": { + "hide_name": 1, + "bits": [ 9456 ], + "attributes": { + } + }, + "$abc$39259$n3117": { + "hide_name": 1, + "bits": [ 1279 ], + "attributes": { + } + }, + "$abc$39259$n3118": { + "hide_name": 1, + "bits": [ 9458 ], + "attributes": { + } + }, + "$abc$39259$n3119": { + "hide_name": 1, + "bits": [ 1020 ], + "attributes": { + } + }, + "$abc$39259$n3120_1": { + "hide_name": 1, + "bits": [ 691 ], + "attributes": { + } + }, + "$abc$39259$n3121": { + "hide_name": 1, + "bits": [ 3061 ], + "attributes": { + } + }, + "$abc$39259$n3124": { + "hide_name": 1, + "bits": [ 692 ], + "attributes": { + } + }, + "$abc$39259$n3129": { + "hide_name": 1, + "bits": [ 9468 ], + "attributes": { + } + }, + "$abc$39259$n3132": { + "hide_name": 1, + "bits": [ 693 ], + "attributes": { + } + }, + "$abc$39259$n3137": { + "hide_name": 1, + "bits": [ 694 ], + "attributes": { + } + }, + "$abc$39259$n3146": { + "hide_name": 1, + "bits": [ 703 ], + "attributes": { + } + }, + "$abc$39259$n3157": { + "hide_name": 1, + "bits": [ 684 ], + "attributes": { + } + }, + "$abc$39259$n3159": { + "hide_name": 1, + "bits": [ 687 ], + "attributes": { + } + }, + "$abc$39259$n3160": { + "hide_name": 1, + "bits": [ 663 ], + "attributes": { + } + }, + "$abc$39259$n3161": { + "hide_name": 1, + "bits": [ 3466 ], + "attributes": { + } + }, + "$abc$39259$n3162": { + "hide_name": 1, + "bits": [ 3467 ], + "attributes": { + } + }, + "$abc$39259$n3163": { + "hide_name": 1, + "bits": [ 3468 ], + "attributes": { + } + }, + "$abc$39259$n3164": { + "hide_name": 1, + "bits": [ 3469 ], + "attributes": { + } + }, + "$abc$39259$n3165": { + "hide_name": 1, + "bits": [ 3478 ], + "attributes": { + } + }, + "$abc$39259$n3167": { + "hide_name": 1, + "bits": [ 3483 ], + "attributes": { + } + }, + "$abc$39259$n3169": { + "hide_name": 1, + "bits": [ 3486 ], + "attributes": { + } + }, + "$abc$39259$n317": { + "hide_name": 1, + "bits": [ 4981 ], + "attributes": { + } + }, + "$abc$39259$n3170": { + "hide_name": 1, + "bits": [ 664 ], + "attributes": { + } + }, + "$abc$39259$n3178": { + "hide_name": 1, + "bits": [ 3550 ], + "attributes": { + } + }, + "$abc$39259$n3184": { + "hide_name": 1, + "bits": [ 9471 ], + "attributes": { + } + }, + "$abc$39259$n3185": { + "hide_name": 1, + "bits": [ 639 ], + "attributes": { + } + }, + "$abc$39259$n3186": { + "hide_name": 1, + "bits": [ 9472 ], + "attributes": { + } + }, + "$abc$39259$n3192": { + "hide_name": 1, + "bits": [ 640 ], + "attributes": { + } + }, + "$abc$39259$n3199": { + "hide_name": 1, + "bits": [ 641 ], + "attributes": { + } + }, + "$abc$39259$n3204": { + "hide_name": 1, + "bits": [ 633 ], + "attributes": { + } + }, + "$abc$39259$n3205": { + "hide_name": 1, + "bits": [ 3684 ], + "attributes": { + } + }, + "$abc$39259$n3210": { + "hide_name": 1, + "bits": [ 3850 ], + "attributes": { + } + }, + "$abc$39259$n3210_1": { + "hide_name": 1, + "bits": [ 3685 ], + "attributes": { + } + }, + "$abc$39259$n3214": { + "hide_name": 1, + "bits": [ 3686 ], + "attributes": { + } + }, + "$abc$39259$n3219_1": { + "hide_name": 1, + "bits": [ 3687 ], + "attributes": { + } + }, + "$abc$39259$n3234": { + "hide_name": 1, + "bits": [ 630 ], + "attributes": { + } + }, + "$abc$39259$n3237": { + "hide_name": 1, + "bits": [ 604 ], + "attributes": { + } + }, + "$abc$39259$n3244": { + "hide_name": 1, + "bits": [ 9475 ], + "attributes": { + } + }, + "$abc$39259$n3244_1": { + "hide_name": 1, + "bits": [ 3877 ], + "attributes": { + } + }, + "$abc$39259$n3250": { + "hide_name": 1, + "bits": [ 605 ], + "attributes": { + } + }, + "$abc$39259$n3254": { + "hide_name": 1, + "bits": [ 3940 ], + "attributes": { + } + }, + "$abc$39259$n3260": { + "hide_name": 1, + "bits": [ 606 ], + "attributes": { + } + }, + "$abc$39259$n3264": { + "hide_name": 1, + "bits": [ 3997 ], + "attributes": { + } + }, + "$abc$39259$n3274": { + "hide_name": 1, + "bits": [ 4065 ], + "attributes": { + } + }, + "$abc$39259$n3275": { + "hide_name": 1, + "bits": [ 580 ], + "attributes": { + } + }, + "$abc$39259$n3280": { + "hide_name": 1, + "bits": [ 581 ], + "attributes": { + } + }, + "$abc$39259$n3284": { + "hide_name": 1, + "bits": [ 582 ], + "attributes": { + } + }, + "$abc$39259$n3289": { + "hide_name": 1, + "bits": [ 574 ], + "attributes": { + } + }, + "$abc$39259$n3290": { + "hide_name": 1, + "bits": [ 4215 ], + "attributes": { + } + }, + "$abc$39259$n3293": { + "hide_name": 1, + "bits": [ 4216 ], + "attributes": { + } + }, + "$abc$39259$n3296": { + "hide_name": 1, + "bits": [ 4217 ], + "attributes": { + } + }, + "$abc$39259$n3301": { + "hide_name": 1, + "bits": [ 4218 ], + "attributes": { + } + }, + "$abc$39259$n3307": { + "hide_name": 1, + "bits": [ 571 ], + "attributes": { + } + }, + "$abc$39259$n331": { + "hide_name": 1, + "bits": [ 8995 ], + "attributes": { + } + }, + "$abc$39259$n3311": { + "hide_name": 1, + "bits": [ 3547 ], + "attributes": { + } + }, + "$abc$39259$n3316": { + "hide_name": 1, + "bits": [ 544 ], + "attributes": { + } + }, + "$abc$39259$n3317": { + "hide_name": 1, + "bits": [ 4469 ], + "attributes": { + } + }, + "$abc$39259$n332": { + "hide_name": 1, + "bits": [ 1053 ], + "attributes": { + } + }, + "$abc$39259$n3327": { + "hide_name": 1, + "bits": [ 545 ], + "attributes": { + } + }, + "$abc$39259$n333": { + "hide_name": 1, + "bits": [ 8996 ], + "attributes": { + } + }, + "$abc$39259$n3335": { + "hide_name": 1, + "bits": [ 4526 ], + "attributes": { + } + }, + "$abc$39259$n3337": { + "hide_name": 1, + "bits": [ 546 ], + "attributes": { + } + }, + "$abc$39259$n3338": { + "hide_name": 1, + "bits": [ 4582 ], + "attributes": { + } + }, + "$abc$39259$n334": { + "hide_name": 1, + "bits": [ 1202 ], + "attributes": { + } + }, + "$abc$39259$n335": { + "hide_name": 1, + "bits": [ 8997 ], + "attributes": { + } + }, + "$abc$39259$n3352": { + "hide_name": 1, + "bits": [ 6174 ], + "attributes": { + } + }, + "$abc$39259$n3352_1": { + "hide_name": 1, + "bits": [ 520 ], + "attributes": { + } + }, + "$abc$39259$n3353": { + "hide_name": 1, + "bits": [ 6104 ], + "attributes": { + } + }, + "$abc$39259$n3355": { + "hide_name": 1, + "bits": [ 521 ], + "attributes": { + } + }, + "$abc$39259$n3359": { + "hide_name": 1, + "bits": [ 4749 ], + "attributes": { + } + }, + "$abc$39259$n3360": { + "hide_name": 1, + "bits": [ 522 ], + "attributes": { + } + }, + "$abc$39259$n3363": { + "hide_name": 1, + "bits": [ 501 ], + "attributes": { + } + }, + "$abc$39259$n3364": { + "hide_name": 1, + "bits": [ 4797 ], + "attributes": { + } + }, + "$abc$39259$n3369": { + "hide_name": 1, + "bits": [ 4798 ], + "attributes": { + } + }, + "$abc$39259$n337": { + "hide_name": 1, + "bits": [ 1109 ], + "attributes": { + } + }, + "$abc$39259$n3372": { + "hide_name": 1, + "bits": [ 4799 ], + "attributes": { + } + }, + "$abc$39259$n3378": { + "hide_name": 1, + "bits": [ 4800 ], + "attributes": { + } + }, + "$abc$39259$n338": { + "hide_name": 1, + "bits": [ 8998 ], + "attributes": { + } + }, + "$abc$39259$n339": { + "hide_name": 1, + "bits": [ 1091 ], + "attributes": { + } + }, + "$abc$39259$n3393": { + "hide_name": 1, + "bits": [ 470 ], + "attributes": { + } + }, + "$abc$39259$n3397": { + "hide_name": 1, + "bits": [ 5041 ], + "attributes": { + } + }, + "$abc$39259$n34": { + "hide_name": 1, + "bits": [ 215 ], + "attributes": { + } + }, + "$abc$39259$n340": { + "hide_name": 1, + "bits": [ 8999 ], + "attributes": { + } + }, + "$abc$39259$n3406": { + "hide_name": 1, + "bits": [ 471 ], + "attributes": { + } + }, + "$abc$39259$n341": { + "hide_name": 1, + "bits": [ 1183 ], + "attributes": { + } + }, + "$abc$39259$n3412": { + "hide_name": 1, + "bits": [ 5093 ], + "attributes": { + } + }, + "$abc$39259$n3418": { + "hide_name": 1, + "bits": [ 472 ], + "attributes": { + } + }, + "$abc$39259$n342": { + "hide_name": 1, + "bits": [ 9000 ], + "attributes": { + } + }, + "$abc$39259$n3427": { + "hide_name": 1, + "bits": [ 5158 ], + "attributes": { + } + }, + "$abc$39259$n343": { + "hide_name": 1, + "bits": [ 1295 ], + "attributes": { + } + }, + "$abc$39259$n3431": { + "hide_name": 1, + "bits": [ 429 ], + "attributes": { + } + }, + "$abc$39259$n3432": { + "hide_name": 1, + "bits": [ 9512 ], + "attributes": { + } + }, + "$abc$39259$n3432_1": { + "hide_name": 1, + "bits": [ 430 ], + "attributes": { + } + }, + "$abc$39259$n3437": { + "hide_name": 1, + "bits": [ 431 ], + "attributes": { + } + }, + "$abc$39259$n344": { + "hide_name": 1, + "bits": [ 9001 ], + "attributes": { + } + }, + "$abc$39259$n3443": { + "hide_name": 1, + "bits": [ 5380 ], + "attributes": { + } + }, + "$abc$39259$n3447": { + "hide_name": 1, + "bits": [ 440 ], + "attributes": { + } + }, + "$abc$39259$n345": { + "hide_name": 1, + "bits": [ 1286 ], + "attributes": { + } + }, + "$abc$39259$n3458": { + "hide_name": 1, + "bits": [ 445 ], + "attributes": { + } + }, + "$abc$39259$n346": { + "hide_name": 1, + "bits": [ 9002 ], + "attributes": { + } + }, + "$abc$39259$n3460_1": { + "hide_name": 1, + "bits": [ 448 ], + "attributes": { + } + }, + "$abc$39259$n347": { + "hide_name": 1, + "bits": [ 1174 ], + "attributes": { + } + }, + "$abc$39259$n3474": { + "hide_name": 1, + "bits": [ 412 ], + "attributes": { + } + }, + "$abc$39259$n3476": { + "hide_name": 1, + "bits": [ 415 ], + "attributes": { + } + }, + "$abc$39259$n3477": { + "hide_name": 1, + "bits": [ 385 ], + "attributes": { + } + }, + "$abc$39259$n348": { + "hide_name": 1, + "bits": [ 9003 ], + "attributes": { + } + }, + "$abc$39259$n3485": { + "hide_name": 1, + "bits": [ 9514 ], + "attributes": { + } + }, + "$abc$39259$n3487": { + "hide_name": 1, + "bits": [ 386 ], + "attributes": { + } + }, + "$abc$39259$n3488": { + "hide_name": 1, + "bits": [ 5703 ], + "attributes": { + } + }, + "$abc$39259$n349": { + "hide_name": 1, + "bits": [ 1070 ], + "attributes": { + } + }, + "$abc$39259$n3490": { + "hide_name": 1, + "bits": [ 5704 ], + "attributes": { + } + }, + "$abc$39259$n3495": { + "hide_name": 1, + "bits": [ 395 ], + "attributes": { + } + }, + "$abc$39259$n3498": { + "hide_name": 1, + "bits": [ 5736 ], + "attributes": { + } + }, + "$abc$39259$n3499": { + "hide_name": 1, + "bits": [ 5737 ], + "attributes": { + } + }, + "$abc$39259$n350": { + "hide_name": 1, + "bits": [ 9004 ], + "attributes": { + } + }, + "$abc$39259$n3501": { + "hide_name": 1, + "bits": [ 5740 ], + "attributes": { + } + }, + "$abc$39259$n3504": { + "hide_name": 1, + "bits": [ 9516 ], + "attributes": { + } + }, + "$abc$39259$n3506": { + "hide_name": 1, + "bits": [ 9519 ], + "attributes": { + } + }, + "$abc$39259$n3506_1": { + "hide_name": 1, + "bits": [ 358 ], + "attributes": { + } + }, + "$abc$39259$n351": { + "hide_name": 1, + "bits": [ 1043 ], + "attributes": { + } + }, + "$abc$39259$n3510": { + "hide_name": 1, + "bits": [ 9523 ], + "attributes": { + } + }, + "$abc$39259$n3515": { + "hide_name": 1, + "bits": [ 359 ], + "attributes": { + } + }, + "$abc$39259$n352": { + "hide_name": 1, + "bits": [ 9005 ], + "attributes": { + } + }, + "$abc$39259$n3525": { + "hide_name": 1, + "bits": [ 360 ], + "attributes": { + } + }, + "$abc$39259$n353": { + "hide_name": 1, + "bits": [ 1136 ], + "attributes": { + } + }, + "$abc$39259$n3533": { + "hide_name": 1, + "bits": [ 361 ], + "attributes": { + } + }, + "$abc$39259$n3536": { + "hide_name": 1, + "bits": [ 9527 ], + "attributes": { + } + }, + "$abc$39259$n354": { + "hide_name": 1, + "bits": [ 9006 ], + "attributes": { + } + }, + "$abc$39259$n3541": { + "hide_name": 1, + "bits": [ 9528 ], + "attributes": { + } + }, + "$abc$39259$n3544": { + "hide_name": 1, + "bits": [ 370 ], + "attributes": { + } + }, + "$abc$39259$n3548": { + "hide_name": 1, + "bits": [ 9530 ], + "attributes": { + } + }, + "$abc$39259$n355": { + "hide_name": 1, + "bits": [ 1100 ], + "attributes": { + } + }, + "$abc$39259$n356": { + "hide_name": 1, + "bits": [ 9007 ], + "attributes": { + } + }, + "$abc$39259$n3560": { + "hide_name": 1, + "bits": [ 323 ], + "attributes": { + } + }, + "$abc$39259$n3565": { + "hide_name": 1, + "bits": [ 6333 ], + "attributes": { + } + }, + "$abc$39259$n3568": { + "hide_name": 1, + "bits": [ 324 ], + "attributes": { + } + }, + "$abc$39259$n357": { + "hide_name": 1, + "bits": [ 1329 ], + "attributes": { + } + }, + "$abc$39259$n3573": { + "hide_name": 1, + "bits": [ 6364 ], + "attributes": { + } + }, + "$abc$39259$n3575": { + "hide_name": 1, + "bits": [ 8338 ], + "attributes": { + } + }, + "$abc$39259$n3576": { + "hide_name": 1, + "bits": [ 8200 ], + "attributes": { + } + }, + "$abc$39259$n3576_1": { + "hide_name": 1, + "bits": [ 325 ], + "attributes": { + } + }, + "$abc$39259$n3577": { + "hide_name": 1, + "bits": [ 102 ], + "attributes": { + } + }, + "$abc$39259$n3577_1": { + "hide_name": 1, + "bits": [ 6394 ], + "attributes": { + } + }, + "$abc$39259$n358": { + "hide_name": 1, + "bits": [ 9008 ], + "attributes": { + } + }, + "$abc$39259$n3586": { + "hide_name": 1, + "bits": [ 7751 ], + "attributes": { + } + }, + "$abc$39259$n3588": { + "hide_name": 1, + "bits": [ 282 ], + "attributes": { + } + }, + "$abc$39259$n359": { + "hide_name": 1, + "bits": [ 1338 ], + "attributes": { + } + }, + "$abc$39259$n3591": { + "hide_name": 1, + "bits": [ 283 ], + "attributes": { + } + }, + "$abc$39259$n3592": { + "hide_name": 1, + "bits": [ 284 ], + "attributes": { + } + }, + "$abc$39259$n360": { + "hide_name": 1, + "bits": [ 9009 ], + "attributes": { + } + }, + "$abc$39259$n3601": { + "hide_name": 1, + "bits": [ 293 ], + "attributes": { + } + }, + "$abc$39259$n3605": { + "hide_name": 1, + "bits": [ 298 ], + "attributes": { + } + }, + "$abc$39259$n361": { + "hide_name": 1, + "bits": [ 1052 ], + "attributes": { + } + }, + "$abc$39259$n3612": { + "hide_name": 1, + "bits": [ 205 ], + "attributes": { + } + }, + "$abc$39259$n3612_1": { + "hide_name": 1, + "bits": [ 301 ], + "attributes": { + } + }, + "$abc$39259$n3625": { + "hide_name": 1, + "bits": [ 265 ], + "attributes": { + } + }, + "$abc$39259$n3626": { + "hide_name": 1, + "bits": [ 6842 ], + "attributes": { + } + }, + "$abc$39259$n3629": { + "hide_name": 1, + "bits": [ 6845 ], + "attributes": { + } + }, + "$abc$39259$n3630": { + "hide_name": 1, + "bits": [ 6850 ], + "attributes": { + } + }, + "$abc$39259$n3631": { + "hide_name": 1, + "bits": [ 6853 ], + "attributes": { + } + }, + "$abc$39259$n3634": { + "hide_name": 1, + "bits": [ 266 ], + "attributes": { + } + }, + "$abc$39259$n3636": { + "hide_name": 1, + "bits": [ 9303 ], + "attributes": { + } + }, + "$abc$39259$n3638": { + "hide_name": 1, + "bits": [ 6893 ], + "attributes": { + } + }, + "$abc$39259$n3639": { + "hide_name": 1, + "bits": [ 6902 ], + "attributes": { + } + }, + "$abc$39259$n3640": { + "hide_name": 1, + "bits": [ 6907 ], + "attributes": { + } + }, + "$abc$39259$n3641": { + "hide_name": 1, + "bits": [ 6910 ], + "attributes": { + } + }, + "$abc$39259$n3643": { + "hide_name": 1, + "bits": [ 267 ], + "attributes": { + } + }, + "$abc$39259$n3644": { + "hide_name": 1, + "bits": [ 6947 ], + "attributes": { + } + }, + "$abc$39259$n3646": { + "hide_name": 1, + "bits": [ 6948 ], + "attributes": { + } + }, + "$abc$39259$n3648": { + "hide_name": 1, + "bits": [ 6949 ], + "attributes": { + } + }, + "$abc$39259$n3651_1": { + "hide_name": 1, + "bits": [ 6950 ], + "attributes": { + } + }, + "$abc$39259$n3658": { + "hide_name": 1, + "bits": [ 224 ], + "attributes": { + } + }, + "$abc$39259$n3665": { + "hide_name": 1, + "bits": [ 225 ], + "attributes": { + } + }, + "$abc$39259$n3667": { + "hide_name": 1, + "bits": [ 226 ], + "attributes": { + } + }, + "$abc$39259$n3676": { + "hide_name": 1, + "bits": [ 7538 ], + "attributes": { + } + }, + "$abc$39259$n3677": { + "hide_name": 1, + "bits": [ 7700 ], + "attributes": { + } + }, + "$abc$39259$n368": { + "hide_name": 1, + "bits": [ 6880 ], + "attributes": { + } + }, + "$abc$39259$n3680": { + "hide_name": 1, + "bits": [ 235 ], + "attributes": { + } + }, + "$abc$39259$n3685": { + "hide_name": 1, + "bits": [ 240 ], + "attributes": { + } + }, + "$abc$39259$n369": { + "hide_name": 1, + "bits": [ 6883 ], + "attributes": { + } + }, + "$abc$39259$n3690": { + "hide_name": 1, + "bits": [ 8404 ], + "attributes": { + } + }, + "$abc$39259$n3691": { + "hide_name": 1, + "bits": [ 8395 ], + "attributes": { + } + }, + "$abc$39259$n3696": { + "hide_name": 1, + "bits": [ 243 ], + "attributes": { + } + }, + "$abc$39259$n370": { + "hide_name": 1, + "bits": [ 7199 ], + "attributes": { + } + }, + "$abc$39259$n371": { + "hide_name": 1, + "bits": [ 6503 ], + "attributes": { + } + }, + "$abc$39259$n3710": { + "hide_name": 1, + "bits": [ 209 ], + "attributes": { + } + }, + "$abc$39259$n3711": { + "hide_name": 1, + "bits": [ 7441 ], + "attributes": { + } + }, + "$abc$39259$n3715": { + "hide_name": 1, + "bits": [ 7443 ], + "attributes": { + } + }, + "$abc$39259$n3716": { + "hide_name": 1, + "bits": [ 7448 ], + "attributes": { + } + }, + "$abc$39259$n3717": { + "hide_name": 1, + "bits": [ 7451 ], + "attributes": { + } + }, + "$abc$39259$n3719": { + "hide_name": 1, + "bits": [ 210 ], + "attributes": { + } + }, + "$abc$39259$n372": { + "hide_name": 1, + "bits": [ 6854 ], + "attributes": { + } + }, + "$abc$39259$n3723": { + "hide_name": 1, + "bits": [ 7491 ], + "attributes": { + } + }, + "$abc$39259$n3724": { + "hide_name": 1, + "bits": [ 7500 ], + "attributes": { + } + }, + "$abc$39259$n3725": { + "hide_name": 1, + "bits": [ 7505 ], + "attributes": { + } + }, + "$abc$39259$n3726": { + "hide_name": 1, + "bits": [ 7508 ], + "attributes": { + } + }, + "$abc$39259$n3727": { + "hide_name": 1, + "bits": [ 3558 ], + "attributes": { + } + }, + "$abc$39259$n3728": { + "hide_name": 1, + "bits": [ 3690 ], + "attributes": { + } + }, + "$abc$39259$n3728_1": { + "hide_name": 1, + "bits": [ 211 ], + "attributes": { + } + }, + "$abc$39259$n3729": { + "hide_name": 1, + "bits": [ 7547 ], + "attributes": { + } + }, + "$abc$39259$n373": { + "hide_name": 1, + "bits": [ 7146 ], + "attributes": { + } + }, + "$abc$39259$n3730": { + "hide_name": 1, + "bits": [ 9532 ], + "attributes": { + } + }, + "$abc$39259$n3731": { + "hide_name": 1, + "bits": [ 9533 ], + "attributes": { + } + }, + "$abc$39259$n3731_1": { + "hide_name": 1, + "bits": [ 7548 ], + "attributes": { + } + }, + "$abc$39259$n3732": { + "hide_name": 1, + "bits": [ 9534 ], + "attributes": { + } + }, + "$abc$39259$n3733": { + "hide_name": 1, + "bits": [ 9535 ], + "attributes": { + } + }, + "$abc$39259$n374": { + "hide_name": 1, + "bits": [ 6758 ], + "attributes": { + } + }, + "$abc$39259$n3740": { + "hide_name": 1, + "bits": [ 7580 ], + "attributes": { + } + }, + "$abc$39259$n3741": { + "hide_name": 1, + "bits": [ 182 ], + "attributes": { + } + }, + "$abc$39259$n3742": { + "hide_name": 1, + "bits": [ 183 ], + "attributes": { + } + }, + "$abc$39259$n3744": { + "hide_name": 1, + "bits": [ 184 ], + "attributes": { + } + }, + "$abc$39259$n3746": { + "hide_name": 1, + "bits": [ 193 ], + "attributes": { + } + }, + "$abc$39259$n375": { + "hide_name": 1, + "bits": [ 6939 ], + "attributes": { + } + }, + "$abc$39259$n3753": { + "hide_name": 1, + "bits": [ 198 ], + "attributes": { + } + }, + "$abc$39259$n3756": { + "hide_name": 1, + "bits": [ 201 ], + "attributes": { + } + }, + "$abc$39259$n3758": { + "hide_name": 1, + "bits": [ 176 ], + "attributes": { + } + }, + "$abc$39259$n3759": { + "hide_name": 1, + "bits": [ 7672 ], + "attributes": { + } + }, + "$abc$39259$n376": { + "hide_name": 1, + "bits": [ 7402 ], + "attributes": { + } + }, + "$abc$39259$n3761": { + "hide_name": 1, + "bits": [ 7673 ], + "attributes": { + } + }, + "$abc$39259$n3763": { + "hide_name": 1, + "bits": [ 7674 ], + "attributes": { + } + }, + "$abc$39259$n3766": { + "hide_name": 1, + "bits": [ 7675 ], + "attributes": { + } + }, + "$abc$39259$n3769": { + "hide_name": 1, + "bits": [ 9536 ], + "attributes": { + } + }, + "$abc$39259$n3769_1": { + "hide_name": 1, + "bits": [ 7684 ], + "attributes": { + } + }, + "$abc$39259$n377": { + "hide_name": 1, + "bits": [ 7565 ], + "attributes": { + } + }, + "$abc$39259$n3777": { + "hide_name": 1, + "bits": [ 7689 ], + "attributes": { + } + }, + "$abc$39259$n3779": { + "hide_name": 1, + "bits": [ 7692 ], + "attributes": { + } + }, + "$abc$39259$n378": { + "hide_name": 1, + "bits": [ 7566 ], + "attributes": { + } + }, + "$abc$39259$n3785": { + "hide_name": 1, + "bits": [ 157 ], + "attributes": { + } + }, + "$abc$39259$n3787": { + "hide_name": 1, + "bits": [ 9537 ], + "attributes": { + } + }, + "$abc$39259$n379": { + "hide_name": 1, + "bits": [ 7790 ], + "attributes": { + } + }, + "$abc$39259$n3791": { + "hide_name": 1, + "bits": [ 170 ], + "attributes": { + } + }, + "$abc$39259$n3793": { + "hide_name": 1, + "bits": [ 264 ], + "attributes": { + } + }, + "$abc$39259$n3794": { + "hide_name": 1, + "bits": [ 7579 ], + "attributes": { + } + }, + "$abc$39259$n3795": { + "hide_name": 1, + "bits": [ 147 ], + "attributes": { + } + }, + "$abc$39259$n38": { + "hide_name": 1, + "bits": [ 222 ], + "attributes": { + } + }, + "$abc$39259$n380": { + "hide_name": 1, + "bits": [ 7247 ], + "attributes": { + } + }, + "$abc$39259$n3804": { + "hide_name": 1, + "bits": [ 7860 ], + "attributes": { + } + }, + "$abc$39259$n3807": { + "hide_name": 1, + "bits": [ 148 ], + "attributes": { + } + }, + "$abc$39259$n381": { + "hide_name": 1, + "bits": [ 7520 ], + "attributes": { + } + }, + "$abc$39259$n382": { + "hide_name": 1, + "bits": [ 7664 ], + "attributes": { + } + }, + "$abc$39259$n3821": { + "hide_name": 1, + "bits": [ 149 ], + "attributes": { + } + }, + "$abc$39259$n3833": { + "hide_name": 1, + "bits": [ 7969 ], + "attributes": { + } + }, + "$abc$39259$n3836": { + "hide_name": 1, + "bits": [ 120 ], + "attributes": { + } + }, + "$abc$39259$n3837": { + "hide_name": 1, + "bits": [ 121 ], + "attributes": { + } + }, + "$abc$39259$n3838": { + "hide_name": 1, + "bits": [ 122 ], + "attributes": { + } + }, + "$abc$39259$n3839": { + "hide_name": 1, + "bits": [ 131 ], + "attributes": { + } + }, + "$abc$39259$n3844": { + "hide_name": 1, + "bits": [ 3833 ], + "attributes": { + } + }, + "$abc$39259$n3845": { + "hide_name": 1, + "bits": [ 3832 ], + "attributes": { + } + }, + "$abc$39259$n3845_1": { + "hide_name": 1, + "bits": [ 136 ], + "attributes": { + } + }, + "$abc$39259$n3846": { + "hide_name": 1, + "bits": [ 614 ], + "attributes": { + } + }, + "$abc$39259$n3846_1": { + "hide_name": 1, + "bits": [ 139 ], + "attributes": { + } + }, + "$abc$39259$n3847": { + "hide_name": 1, + "bits": [ 3374 ], + "attributes": { + } + }, + "$abc$39259$n3847_1": { + "hide_name": 1, + "bits": [ 114 ], + "attributes": { + } + }, + "$abc$39259$n3848": { + "hide_name": 1, + "bits": [ 3513 ], + "attributes": { + } + }, + "$abc$39259$n3848_1": { + "hide_name": 1, + "bits": [ 8088 ], + "attributes": { + } + }, + "$abc$39259$n3849": { + "hide_name": 1, + "bits": [ 8089 ], + "attributes": { + } + }, + "$abc$39259$n3852": { + "hide_name": 1, + "bits": [ 8090 ], + "attributes": { + } + }, + "$abc$39259$n3853": { + "hide_name": 1, + "bits": [ 8091 ], + "attributes": { + } + }, + "$abc$39259$n3854": { + "hide_name": 1, + "bits": [ 8100 ], + "attributes": { + } + }, + "$abc$39259$n3859": { + "hide_name": 1, + "bits": [ 4637 ], + "attributes": { + } + }, + "$abc$39259$n3859_1": { + "hide_name": 1, + "bits": [ 8105 ], + "attributes": { + } + }, + "$abc$39259$n3860": { + "hide_name": 1, + "bits": [ 540 ], + "attributes": { + } + }, + "$abc$39259$n3860_1": { + "hide_name": 1, + "bits": [ 8108 ], + "attributes": { + } + }, + "$abc$39259$n3873": { + "hide_name": 1, + "bits": [ 461 ], + "attributes": { + } + }, + "$abc$39259$n3873_1": { + "hide_name": 1, + "bits": [ 93 ], + "attributes": { + } + }, + "$abc$39259$n3874": { + "hide_name": 1, + "bits": [ 5742 ], + "attributes": { + } + }, + "$abc$39259$n3875": { + "hide_name": 1, + "bits": [ 5735 ], + "attributes": { + } + }, + "$abc$39259$n388": { + "hide_name": 1, + "bits": [ 9012 ], + "attributes": { + } + }, + "$abc$39259$n3882": { + "hide_name": 1, + "bits": [ 6330 ], + "attributes": { + } + }, + "$abc$39259$n3883": { + "hide_name": 1, + "bits": [ 6681 ], + "attributes": { + } + }, + "$abc$39259$n3887": { + "hide_name": 1, + "bits": [ 94 ], + "attributes": { + } + }, + "$abc$39259$n3889": { + "hide_name": 1, + "bits": [ 7453 ], + "attributes": { + } + }, + "$abc$39259$n3890": { + "hide_name": 1, + "bits": [ 7732 ], + "attributes": { + } + }, + "$abc$39259$n3893": { + "hide_name": 1, + "bits": [ 6756 ], + "attributes": { + } + }, + "$abc$39259$n3901": { + "hide_name": 1, + "bits": [ 95 ], + "attributes": { + } + }, + "$abc$39259$n3904": { + "hide_name": 1, + "bits": [ 2164 ], + "attributes": { + } + }, + "$abc$39259$n3904_1": { + "hide_name": 1, + "bits": [ 8314 ], + "attributes": { + } + }, + "$abc$39259$n3909": { + "hide_name": 1, + "bits": [ 8315 ], + "attributes": { + } + }, + "$abc$39259$n3911": { + "hide_name": 1, + "bits": [ 8324 ], + "attributes": { + } + }, + "$abc$39259$n3914": { + "hide_name": 1, + "bits": [ 8329 ], + "attributes": { + } + }, + "$abc$39259$n3917": { + "hide_name": 1, + "bits": [ 8332 ], + "attributes": { + } + }, + "$abc$39259$n3921": { + "hide_name": 1, + "bits": [ 64 ], + "attributes": { + } + }, + "$abc$39259$n3928_1": { + "hide_name": 1, + "bits": [ 65 ], + "attributes": { + } + }, + "$abc$39259$n3936": { + "hide_name": 1, + "bits": [ 66 ], + "attributes": { + } + }, + "$abc$39259$n394": { + "hide_name": 1, + "bits": [ 9466 ], + "attributes": { + } + }, + "$abc$39259$n3946": { + "hide_name": 1, + "bits": [ 67 ], + "attributes": { + } + }, + "$abc$39259$n3949": { + "hide_name": 1, + "bits": [ 1821 ], + "attributes": { + } + }, + "$abc$39259$n395": { + "hide_name": 1, + "bits": [ 9462 ], + "attributes": { + } + }, + "$abc$39259$n3953": { + "hide_name": 1, + "bits": [ 76 ], + "attributes": { + } + }, + "$abc$39259$n3968": { + "hide_name": 1, + "bits": [ 8972 ], + "attributes": { + } + }, + "$abc$39259$n3969": { + "hide_name": 1, + "bits": [ 8978 ], + "attributes": { + } + }, + "$abc$39259$n3970": { + "hide_name": 1, + "bits": [ 8981 ], + "attributes": { + } + }, + "$abc$39259$n3971": { + "hide_name": 1, + "bits": [ 8982 ], + "attributes": { + } + }, + "$abc$39259$n3972": { + "hide_name": 1, + "bits": [ 8979 ], + "attributes": { + } + }, + "$abc$39259$n3973": { + "hide_name": 1, + "bits": [ 8975 ], + "attributes": { + } + }, + "$abc$39259$n3974": { + "hide_name": 1, + "bits": [ 8971 ], + "attributes": { + } + }, + "$abc$39259$n3976": { + "hide_name": 1, + "bits": [ 9542 ], + "attributes": { + } + }, + "$abc$39259$n3999": { + "hide_name": 1, + "bits": [ 9545 ], + "attributes": { + } + }, + "$abc$39259$n4000": { + "hide_name": 1, + "bits": [ 9546 ], + "attributes": { + } + }, + "$abc$39259$n4001": { + "hide_name": 1, + "bits": [ 9547 ], + "attributes": { + } + }, + "$abc$39259$n4002": { + "hide_name": 1, + "bits": [ 9548 ], + "attributes": { + } + }, + "$abc$39259$n4013": { + "hide_name": 1, + "bits": [ 9034 ], + "attributes": { + } + }, + "$abc$39259$n4018_1": { + "hide_name": 1, + "bits": [ 9042 ], + "attributes": { + } + }, + "$abc$39259$n4019": { + "hide_name": 1, + "bits": [ 9046 ], + "attributes": { + } + }, + "$abc$39259$n402": { + "hide_name": 1, + "bits": [ 8123 ], + "attributes": { + } + }, + "$abc$39259$n4020": { + "hide_name": 1, + "bits": [ 9053 ], + "attributes": { + } + }, + "$abc$39259$n4021": { + "hide_name": 1, + "bits": [ 9045 ], + "attributes": { + } + }, + "$abc$39259$n4022": { + "hide_name": 1, + "bits": [ 8643 ], + "attributes": { + } + }, + "$abc$39259$n4022_1": { + "hide_name": 1, + "bits": [ 9056 ], + "attributes": { + } + }, + "$abc$39259$n4023": { + "hide_name": 1, + "bits": [ 9057 ], + "attributes": { + } + }, + "$abc$39259$n4024": { + "hide_name": 1, + "bits": [ 9052 ], + "attributes": { + } + }, + "$abc$39259$n4025": { + "hide_name": 1, + "bits": [ 9055 ], + "attributes": { + } + }, + "$abc$39259$n4026": { + "hide_name": 1, + "bits": [ 9044 ], + "attributes": { + } + }, + "$abc$39259$n4027": { + "hide_name": 1, + "bits": [ 9051 ], + "attributes": { + } + }, + "$abc$39259$n4028": { + "hide_name": 1, + "bits": [ 9067 ], + "attributes": { + } + }, + "$abc$39259$n4029": { + "hide_name": 1, + "bits": [ 9068 ], + "attributes": { + } + }, + "$abc$39259$n403": { + "hide_name": 1, + "bits": [ 8286 ], + "attributes": { + } + }, + "$abc$39259$n4030": { + "hide_name": 1, + "bits": [ 9054 ], + "attributes": { + } + }, + "$abc$39259$n4031": { + "hide_name": 1, + "bits": [ 9047 ], + "attributes": { + } + }, + "$abc$39259$n4032": { + "hide_name": 1, + "bits": [ 9076 ], + "attributes": { + } + }, + "$abc$39259$n4033": { + "hide_name": 1, + "bits": [ 9041 ], + "attributes": { + } + }, + "$abc$39259$n4034": { + "hide_name": 1, + "bits": [ 9079 ], + "attributes": { + } + }, + "$abc$39259$n4035": { + "hide_name": 1, + "bits": [ 9040 ], + "attributes": { + } + }, + "$abc$39259$n404": { + "hide_name": 1, + "bits": [ 8189 ], + "attributes": { + } + }, + "$abc$39259$n405": { + "hide_name": 1, + "bits": [ 8298 ], + "attributes": { + } + }, + "$abc$39259$n4053": { + "hide_name": 1, + "bits": [ 9104 ], + "attributes": { + } + }, + "$abc$39259$n4054": { + "hide_name": 1, + "bits": [ 9109 ], + "attributes": { + } + }, + "$abc$39259$n4055": { + "hide_name": 1, + "bits": [ 9123 ], + "attributes": { + } + }, + "$abc$39259$n4056": { + "hide_name": 1, + "bits": [ 9116 ], + "attributes": { + } + }, + "$abc$39259$n4057": { + "hide_name": 1, + "bits": [ 9108 ], + "attributes": { + } + }, + "$abc$39259$n4058": { + "hide_name": 1, + "bits": [ 9128 ], + "attributes": { + } + }, + "$abc$39259$n4059": { + "hide_name": 1, + "bits": [ 9105 ], + "attributes": { + } + }, + "$abc$39259$n4060": { + "hide_name": 1, + "bits": [ 9129 ], + "attributes": { + } + }, + "$abc$39259$n4061": { + "hide_name": 1, + "bits": [ 9103 ], + "attributes": { + } + }, + "$abc$39259$n4063": { + "hide_name": 1, + "bits": [ 9130 ], + "attributes": { + } + }, + "$abc$39259$n4064": { + "hide_name": 1, + "bits": [ 9133 ], + "attributes": { + } + }, + "$abc$39259$n4070": { + "hide_name": 1, + "bits": [ 9139 ], + "attributes": { + } + }, + "$abc$39259$n4071": { + "hide_name": 1, + "bits": [ 9145 ], + "attributes": { + } + }, + "$abc$39259$n4072": { + "hide_name": 1, + "bits": [ 9151 ], + "attributes": { + } + }, + "$abc$39259$n4073": { + "hide_name": 1, + "bits": [ 9146 ], + "attributes": { + } + }, + "$abc$39259$n4074": { + "hide_name": 1, + "bits": [ 9155 ], + "attributes": { + } + }, + "$abc$39259$n4075": { + "hide_name": 1, + "bits": [ 9142 ], + "attributes": { + } + }, + "$abc$39259$n4076": { + "hide_name": 1, + "bits": [ 9138 ], + "attributes": { + } + }, + "$abc$39259$n4078": { + "hide_name": 1, + "bits": [ 9162 ], + "attributes": { + } + }, + "$abc$39259$n4079": { + "hide_name": 1, + "bits": [ 9168 ], + "attributes": { + } + }, + "$abc$39259$n4080": { + "hide_name": 1, + "bits": [ 9174 ], + "attributes": { + } + }, + "$abc$39259$n4081": { + "hide_name": 1, + "bits": [ 9169 ], + "attributes": { + } + }, + "$abc$39259$n4082": { + "hide_name": 1, + "bits": [ 9178 ], + "attributes": { + } + }, + "$abc$39259$n4083": { + "hide_name": 1, + "bits": [ 9165 ], + "attributes": { + } + }, + "$abc$39259$n4084": { + "hide_name": 1, + "bits": [ 9161 ], + "attributes": { + } + }, + "$abc$39259$n4088": { + "hide_name": 1, + "bits": [ 5831 ], + "attributes": { + } + }, + "$abc$39259$n4089": { + "hide_name": 1, + "bits": [ 5818 ], + "attributes": { + } + }, + "$abc$39259$n4090": { + "hide_name": 1, + "bits": [ 5814 ], + "attributes": { + } + }, + "$abc$39259$n4091": { + "hide_name": 1, + "bits": [ 9193 ], + "attributes": { + } + }, + "$abc$39259$n4092": { + "hide_name": 1, + "bits": [ 9195 ], + "attributes": { + } + }, + "$abc$39259$n4093": { + "hide_name": 1, + "bits": [ 9191 ], + "attributes": { + } + }, + "$abc$39259$n4094": { + "hide_name": 1, + "bits": [ 9190 ], + "attributes": { + } + }, + "$abc$39259$n4099": { + "hide_name": 1, + "bits": [ 9199 ], + "attributes": { + } + }, + "$abc$39259$n4100": { + "hide_name": 1, + "bits": [ 1454 ], + "attributes": { + } + }, + "$abc$39259$n4100_1": { + "hide_name": 1, + "bits": [ 9200 ], + "attributes": { + } + }, + "$abc$39259$n4101": { + "hide_name": 1, + "bits": [ 9201 ], + "attributes": { + } + }, + "$abc$39259$n4102": { + "hide_name": 1, + "bits": [ 9202 ], + "attributes": { + } + }, + "$abc$39259$n4105": { + "hide_name": 1, + "bits": [ 9224 ], + "attributes": { + } + }, + "$abc$39259$n4106": { + "hide_name": 1, + "bits": [ 9226 ], + "attributes": { + } + }, + "$abc$39259$n4107": { + "hide_name": 1, + "bits": [ 9221 ], + "attributes": { + } + }, + "$abc$39259$n4110": { + "hide_name": 1, + "bits": [ 9231 ], + "attributes": { + } + }, + "$abc$39259$n4111": { + "hide_name": 1, + "bits": [ 9233 ], + "attributes": { + } + }, + "$abc$39259$n4112": { + "hide_name": 1, + "bits": [ 9234 ], + "attributes": { + } + }, + "$abc$39259$n4113": { + "hide_name": 1, + "bits": [ 9230 ], + "attributes": { + } + }, + "$abc$39259$n4114": { + "hide_name": 1, + "bits": [ 9235 ], + "attributes": { + } + }, + "$abc$39259$n4116": { + "hide_name": 1, + "bits": [ 9237 ], + "attributes": { + } + }, + "$abc$39259$n4117": { + "hide_name": 1, + "bits": [ 9243 ], + "attributes": { + } + }, + "$abc$39259$n4118": { + "hide_name": 1, + "bits": [ 9246 ], + "attributes": { + } + }, + "$abc$39259$n4119": { + "hide_name": 1, + "bits": [ 9249 ], + "attributes": { + } + }, + "$abc$39259$n4120": { + "hide_name": 1, + "bits": [ 9244 ], + "attributes": { + } + }, + "$abc$39259$n4121": { + "hide_name": 1, + "bits": [ 9240 ], + "attributes": { + } + }, + "$abc$39259$n4122": { + "hide_name": 1, + "bits": [ 2655 ], + "attributes": { + } + }, + "$abc$39259$n4122_1": { + "hide_name": 1, + "bits": [ 9236 ], + "attributes": { + } + }, + "$abc$39259$n4123": { + "hide_name": 1, + "bits": [ 2653 ], + "attributes": { + } + }, + "$abc$39259$n4125": { + "hide_name": 1, + "bits": [ 9262 ], + "attributes": { + } + }, + "$abc$39259$n4126": { + "hide_name": 1, + "bits": [ 9272 ], + "attributes": { + } + }, + "$abc$39259$n4127": { + "hide_name": 1, + "bits": [ 9263 ], + "attributes": { + } + }, + "$abc$39259$n414": { + "hide_name": 1, + "bits": [ 8758 ], + "attributes": { + } + }, + "$abc$39259$n415": { + "hide_name": 1, + "bits": [ 9318 ], + "attributes": { + } + }, + "$abc$39259$n4157": { + "hide_name": 1, + "bits": [ 9306 ], + "attributes": { + } + }, + "$abc$39259$n4165": { + "hide_name": 1, + "bits": [ 9315 ], + "attributes": { + } + }, + "$abc$39259$n4166": { + "hide_name": 1, + "bits": [ 9325 ], + "attributes": { + } + }, + "$abc$39259$n4167": { + "hide_name": 1, + "bits": [ 9316 ], + "attributes": { + } + }, + "$abc$39259$n4169": { + "hide_name": 1, + "bits": [ 9326 ], + "attributes": { + } + }, + "$abc$39259$n417": { + "hide_name": 1, + "bits": [ 8236 ], + "attributes": { + } + }, + "$abc$39259$n4170": { + "hide_name": 1, + "bits": [ 9336 ], + "attributes": { + } + }, + "$abc$39259$n4171": { + "hide_name": 1, + "bits": [ 9327 ], + "attributes": { + } + }, + "$abc$39259$n4173": { + "hide_name": 1, + "bits": [ 9337 ], + "attributes": { + } + }, + "$abc$39259$n4175": { + "hide_name": 1, + "bits": [ 9339 ], + "attributes": { + } + }, + "$abc$39259$n418": { + "hide_name": 1, + "bits": [ 8842 ], + "attributes": { + } + }, + "$abc$39259$n4180": { + "hide_name": 1, + "bits": [ 3175 ], + "attributes": { + } + }, + "$abc$39259$n4181": { + "hide_name": 1, + "bits": [ 3084 ], + "attributes": { + } + }, + "$abc$39259$n4184": { + "hide_name": 1, + "bits": [ 9349 ], + "attributes": { + } + }, + "$abc$39259$n4185": { + "hide_name": 1, + "bits": [ 9355 ], + "attributes": { + } + }, + "$abc$39259$n4186": { + "hide_name": 1, + "bits": [ 9358 ], + "attributes": { + } + }, + "$abc$39259$n4187": { + "hide_name": 1, + "bits": [ 9359 ], + "attributes": { + } + }, + "$abc$39259$n4188_1": { + "hide_name": 1, + "bits": [ 9356 ], + "attributes": { + } + }, + "$abc$39259$n4189_1": { + "hide_name": 1, + "bits": [ 9352 ], + "attributes": { + } + }, + "$abc$39259$n4190": { + "hide_name": 1, + "bits": [ 9348 ], + "attributes": { + } + }, + "$abc$39259$n4192_1": { + "hide_name": 1, + "bits": [ 9372 ], + "attributes": { + } + }, + "$abc$39259$n4193": { + "hide_name": 1, + "bits": [ 9378 ], + "attributes": { + } + }, + "$abc$39259$n4194_1": { + "hide_name": 1, + "bits": [ 9384 ], + "attributes": { + } + }, + "$abc$39259$n4195_1": { + "hide_name": 1, + "bits": [ 9379 ], + "attributes": { + } + }, + "$abc$39259$n4196": { + "hide_name": 1, + "bits": [ 9388 ], + "attributes": { + } + }, + "$abc$39259$n4197": { + "hide_name": 1, + "bits": [ 9375 ], + "attributes": { + } + }, + "$abc$39259$n4198": { + "hide_name": 1, + "bits": [ 9371 ], + "attributes": { + } + }, + "$abc$39259$n4199": { + "hide_name": 1, + "bits": [ 9551 ], + "attributes": { + } + }, + "$abc$39259$n42": { + "hide_name": 1, + "bits": [ 271 ], + "attributes": { + } + }, + "$abc$39259$n420": { + "hide_name": 1, + "bits": [ 8766 ], + "attributes": { + } + }, + "$abc$39259$n4200": { + "hide_name": 1, + "bits": [ 9552 ], + "attributes": { + } + }, + "$abc$39259$n4200_1": { + "hide_name": 1, + "bits": [ 9395 ], + "attributes": { + } + }, + "$abc$39259$n4201": { + "hide_name": 1, + "bits": [ 9553 ], + "attributes": { + } + }, + "$abc$39259$n4201_1": { + "hide_name": 1, + "bits": [ 9401 ], + "attributes": { + } + }, + "$abc$39259$n4202": { + "hide_name": 1, + "bits": [ 9554 ], + "attributes": { + } + }, + "$abc$39259$n4202_1": { + "hide_name": 1, + "bits": [ 9407 ], + "attributes": { + } + }, + "$abc$39259$n4203": { + "hide_name": 1, + "bits": [ 9402 ], + "attributes": { + } + }, + "$abc$39259$n4204": { + "hide_name": 1, + "bits": [ 9411 ], + "attributes": { + } + }, + "$abc$39259$n4205": { + "hide_name": 1, + "bits": [ 9398 ], + "attributes": { + } + }, + "$abc$39259$n4206": { + "hide_name": 1, + "bits": [ 9394 ], + "attributes": { + } + }, + "$abc$39259$n4208": { + "hide_name": 1, + "bits": [ 9418 ], + "attributes": { + } + }, + "$abc$39259$n4209": { + "hide_name": 1, + "bits": [ 9424 ], + "attributes": { + } + }, + "$abc$39259$n421": { + "hide_name": 1, + "bits": [ 8672 ], + "attributes": { + } + }, + "$abc$39259$n4210": { + "hide_name": 1, + "bits": [ 9427 ], + "attributes": { + } + }, + "$abc$39259$n4211": { + "hide_name": 1, + "bits": [ 9430 ], + "attributes": { + } + }, + "$abc$39259$n4212": { + "hide_name": 1, + "bits": [ 9425 ], + "attributes": { + } + }, + "$abc$39259$n4213": { + "hide_name": 1, + "bits": [ 9421 ], + "attributes": { + } + }, + "$abc$39259$n4214": { + "hide_name": 1, + "bits": [ 9417 ], + "attributes": { + } + }, + "$abc$39259$n4218": { + "hide_name": 1, + "bits": [ 9444 ], + "attributes": { + } + }, + "$abc$39259$n4219": { + "hide_name": 1, + "bits": [ 9447 ], + "attributes": { + } + }, + "$abc$39259$n422": { + "hide_name": 1, + "bits": [ 8659 ], + "attributes": { + } + }, + "$abc$39259$n4220": { + "hide_name": 1, + "bits": [ 9443 ], + "attributes": { + } + }, + "$abc$39259$n4222": { + "hide_name": 1, + "bits": [ 9448 ], + "attributes": { + } + }, + "$abc$39259$n423": { + "hide_name": 1, + "bits": [ 8624 ], + "attributes": { + } + }, + "$abc$39259$n4233": { + "hide_name": 1, + "bits": [ 9459 ], + "attributes": { + } + }, + "$abc$39259$n4235": { + "hide_name": 1, + "bits": [ 9463 ], + "attributes": { + } + }, + "$abc$39259$n4236": { + "hide_name": 1, + "bits": [ 9464 ], + "attributes": { + } + }, + "$abc$39259$n424": { + "hide_name": 1, + "bits": [ 108 ], + "attributes": { + } + }, + "$abc$39259$n4240": { + "hide_name": 1, + "bits": [ 9474 ], + "attributes": { + } + }, + "$abc$39259$n4241": { + "hide_name": 1, + "bits": [ 9476 ], + "attributes": { + } + }, + "$abc$39259$n4242": { + "hide_name": 1, + "bits": [ 9478 ], + "attributes": { + } + }, + "$abc$39259$n4243": { + "hide_name": 1, + "bits": [ 9479 ], + "attributes": { + } + }, + "$abc$39259$n4244": { + "hide_name": 1, + "bits": [ 9477 ], + "attributes": { + } + }, + "$abc$39259$n4245": { + "hide_name": 1, + "bits": [ 9481 ], + "attributes": { + } + }, + "$abc$39259$n4246": { + "hide_name": 1, + "bits": [ 9484 ], + "attributes": { + } + }, + "$abc$39259$n4247": { + "hide_name": 1, + "bits": [ 9486 ], + "attributes": { + } + }, + "$abc$39259$n4248": { + "hide_name": 1, + "bits": [ 9485 ], + "attributes": { + } + }, + "$abc$39259$n4249": { + "hide_name": 1, + "bits": [ 9480 ], + "attributes": { + } + }, + "$abc$39259$n425": { + "hide_name": 1, + "bits": [ 8607 ], + "attributes": { + } + }, + "$abc$39259$n4250": { + "hide_name": 1, + "bits": [ 9473 ], + "attributes": { + } + }, + "$abc$39259$n4251": { + "hide_name": 1, + "bits": [ 9487 ], + "attributes": { + } + }, + "$abc$39259$n4252": { + "hide_name": 1, + "bits": [ 9488 ], + "attributes": { + } + }, + "$abc$39259$n4254": { + "hide_name": 1, + "bits": [ 9490 ], + "attributes": { + } + }, + "$abc$39259$n4255": { + "hide_name": 1, + "bits": [ 9496 ], + "attributes": { + } + }, + "$abc$39259$n4256": { + "hide_name": 1, + "bits": [ 9499 ], + "attributes": { + } + }, + "$abc$39259$n4257": { + "hide_name": 1, + "bits": [ 9500 ], + "attributes": { + } + }, + "$abc$39259$n4258": { + "hide_name": 1, + "bits": [ 9497 ], + "attributes": { + } + }, + "$abc$39259$n4259": { + "hide_name": 1, + "bits": [ 9493 ], + "attributes": { + } + }, + "$abc$39259$n426": { + "hide_name": 1, + "bits": [ 8538 ], + "attributes": { + } + }, + "$abc$39259$n4260": { + "hide_name": 1, + "bits": [ 9489 ], + "attributes": { + } + }, + "$abc$39259$n4263": { + "hide_name": 1, + "bits": [ 9513 ], + "attributes": { + } + }, + "$abc$39259$n4265": { + "hide_name": 1, + "bits": [ 9515 ], + "attributes": { + } + }, + "$abc$39259$n4266": { + "hide_name": 1, + "bits": [ 9517 ], + "attributes": { + } + }, + "$abc$39259$n4268": { + "hide_name": 1, + "bits": [ 9518 ], + "attributes": { + } + }, + "$abc$39259$n427": { + "hide_name": 1, + "bits": [ 8542 ], + "attributes": { + } + }, + "$abc$39259$n4270": { + "hide_name": 1, + "bits": [ 9522 ], + "attributes": { + } + }, + "$abc$39259$n4272": { + "hide_name": 1, + "bits": [ 9524 ], + "attributes": { + } + }, + "$abc$39259$n4275": { + "hide_name": 1, + "bits": [ 9529 ], + "attributes": { + } + }, + "$abc$39259$n4276": { + "hide_name": 1, + "bits": [ 9531 ], + "attributes": { + } + }, + "$abc$39259$n428": { + "hide_name": 1, + "bits": [ 8535 ], + "attributes": { + } + }, + "$abc$39259$n4284": { + "hide_name": 1, + "bits": [ 9539 ], + "attributes": { + } + }, + "$abc$39259$n4285": { + "hide_name": 1, + "bits": [ 9540 ], + "attributes": { + } + }, + "$abc$39259$n429": { + "hide_name": 1, + "bits": [ 8264 ], + "attributes": { + } + }, + "$abc$39259$n4291": { + "hide_name": 1, + "bits": [ 9549 ], + "attributes": { + } + }, + "$abc$39259$n4299": { + "hide_name": 1, + "bits": [ 9558 ], + "attributes": { + } + }, + "$abc$39259$n430": { + "hide_name": 1, + "bits": [ 8520 ], + "attributes": { + } + }, + "$abc$39259$n4300": { + "hide_name": 1, + "bits": [ 9560 ], + "attributes": { + } + }, + "$abc$39259$n4301": { + "hide_name": 1, + "bits": [ 9557 ], + "attributes": { + } + }, + "$abc$39259$n431": { + "hide_name": 1, + "bits": [ 8444 ], + "attributes": { + } + }, + "$abc$39259$n4313": { + "hide_name": 1, + "bits": [ 9572 ], + "attributes": { + } + }, + "$abc$39259$n4314": { + "hide_name": 1, + "bits": [ 9575 ], + "attributes": { + } + }, + "$abc$39259$n4315": { + "hide_name": 1, + "bits": [ 9571 ], + "attributes": { + } + }, + "$abc$39259$n432": { + "hide_name": 1, + "bits": [ 8216 ], + "attributes": { + } + }, + "$abc$39259$n4325": { + "hide_name": 1, + "bits": [ 9584 ], + "attributes": { + } + }, + "$abc$39259$n4327": { + "hide_name": 1, + "bits": [ 9587 ], + "attributes": { + } + }, + "$abc$39259$n4329": { + "hide_name": 1, + "bits": [ 9589 ], + "attributes": { + } + }, + "$abc$39259$n433": { + "hide_name": 1, + "bits": [ 8490 ], + "attributes": { + } + }, + "$abc$39259$n4331_1": { + "hide_name": 1, + "bits": [ 9593 ], + "attributes": { + } + }, + "$abc$39259$n4332_1": { + "hide_name": 1, + "bits": [ 9595 ], + "attributes": { + } + }, + "$abc$39259$n4333": { + "hide_name": 1, + "bits": [ 9592 ], + "attributes": { + } + }, + "$abc$39259$n4335": { + "hide_name": 1, + "bits": [ 9596 ], + "attributes": { + } + }, + "$abc$39259$n4337": { + "hide_name": 1, + "bits": [ 7586 ], + "attributes": { + } + }, + "$abc$39259$n4338": { + "hide_name": 1, + "bits": [ 7321 ], + "attributes": { + } + }, + "$abc$39259$n434": { + "hide_name": 1, + "bits": [ 8436 ], + "attributes": { + } + }, + "$abc$39259$n4345": { + "hide_name": 1, + "bits": [ 9606 ], + "attributes": { + } + }, + "$abc$39259$n4346": { + "hide_name": 1, + "bits": [ 9610 ], + "attributes": { + } + }, + "$abc$39259$n4347": { + "hide_name": 1, + "bits": [ 9608 ], + "attributes": { + } + }, + "$abc$39259$n4348": { + "hide_name": 1, + "bits": [ 9613 ], + "attributes": { + } + }, + "$abc$39259$n4349": { + "hide_name": 1, + "bits": [ 9614 ], + "attributes": { + } + }, + "$abc$39259$n435": { + "hide_name": 1, + "bits": [ 8267 ], + "attributes": { + } + }, + "$abc$39259$n4350": { + "hide_name": 1, + "bits": [ 9607 ], + "attributes": { + } + }, + "$abc$39259$n4351": { + "hide_name": 1, + "bits": [ 9615 ], + "attributes": { + } + }, + "$abc$39259$n4352": { + "hide_name": 1, + "bits": [ 515 ], + "attributes": { + } + }, + "$abc$39259$n4354": { + "hide_name": 1, + "bits": [ 9618 ], + "attributes": { + } + }, + "$abc$39259$n4355": { + "hide_name": 1, + "bits": [ 9617 ], + "attributes": { + } + }, + "$abc$39259$n4356": { + "hide_name": 1, + "bits": [ 9620 ], + "attributes": { + } + }, + "$abc$39259$n4358": { + "hide_name": 1, + "bits": [ 9621 ], + "attributes": { + } + }, + "$abc$39259$n436": { + "hide_name": 1, + "bits": [ 8740 ], + "attributes": { + } + }, + "$abc$39259$n4363": { + "hide_name": 1, + "bits": [ 9626 ], + "attributes": { + } + }, + "$abc$39259$n4366": { + "hide_name": 1, + "bits": [ 9632 ], + "attributes": { + } + }, + "$abc$39259$n4367": { + "hide_name": 1, + "bits": [ 9638 ], + "attributes": { + } + }, + "$abc$39259$n4368": { + "hide_name": 1, + "bits": [ 9644 ], + "attributes": { + } + }, + "$abc$39259$n4369": { + "hide_name": 1, + "bits": [ 9639 ], + "attributes": { + } + }, + "$abc$39259$n437": { + "hide_name": 1, + "bits": [ 8640 ], + "attributes": { + } + }, + "$abc$39259$n4370": { + "hide_name": 1, + "bits": [ 9648 ], + "attributes": { + } + }, + "$abc$39259$n4371": { + "hide_name": 1, + "bits": [ 9635 ], + "attributes": { + } + }, + "$abc$39259$n4372": { + "hide_name": 1, + "bits": [ 9631 ], + "attributes": { + } + }, + "$abc$39259$n4374": { + "hide_name": 1, + "bits": [ 9653 ], + "attributes": { + } + }, + "$abc$39259$n4375": { + "hide_name": 1, + "bits": [ 9655 ], + "attributes": { + } + }, + "$abc$39259$n4377": { + "hide_name": 1, + "bits": [ 9658 ], + "attributes": { + } + }, + "$abc$39259$n4378": { + "hide_name": 1, + "bits": [ 9660 ], + "attributes": { + } + }, + "$abc$39259$n4379": { + "hide_name": 1, + "bits": [ 9657 ], + "attributes": { + } + }, + "$abc$39259$n438": { + "hide_name": 1, + "bits": [ 111 ], + "attributes": { + } + }, + "$abc$39259$n4380": { + "hide_name": 1, + "bits": [ 9656 ], + "attributes": { + } + }, + "$abc$39259$n439": { + "hide_name": 1, + "bits": [ 8695 ], + "attributes": { + } + }, + "$abc$39259$n4394": { + "hide_name": 1, + "bits": [ 3888 ], + "attributes": { + } + }, + "$abc$39259$n4395": { + "hide_name": 1, + "bits": [ 3862 ], + "attributes": { + } + }, + "$abc$39259$n4397": { + "hide_name": 1, + "bits": [ 9677 ], + "attributes": { + } + }, + "$abc$39259$n440": { + "hide_name": 1, + "bits": [ 8626 ], + "attributes": { + } + }, + "$abc$39259$n4401": { + "hide_name": 1, + "bits": [ 4382 ], + "attributes": { + } + }, + "$abc$39259$n4408": { + "hide_name": 1, + "bits": [ 9555 ], + "attributes": { + } + }, + "$abc$39259$n441": { + "hide_name": 1, + "bits": [ 8253 ], + "attributes": { + } + }, + "$abc$39259$n442": { + "hide_name": 1, + "bits": [ 8252 ], + "attributes": { + } + }, + "$abc$39259$n4422": { + "hide_name": 1, + "bits": [ 7438 ], + "attributes": { + } + }, + "$abc$39259$n4423": { + "hide_name": 1, + "bits": [ 7439 ], + "attributes": { + } + }, + "$abc$39259$n4425": { + "hide_name": 1, + "bits": [ 9556 ], + "attributes": { + } + }, + "$abc$39259$n4427": { + "hide_name": 1, + "bits": [ 2996 ], + "attributes": { + } + }, + "$abc$39259$n4427_1": { + "hide_name": 1, + "bits": [ 9725 ], + "attributes": { + } + }, + "$abc$39259$n4428": { + "hide_name": 1, + "bits": [ 9726 ], + "attributes": { + } + }, + "$abc$39259$n443": { + "hide_name": 1, + "bits": [ 8228 ], + "attributes": { + } + }, + "$abc$39259$n4434": { + "hide_name": 1, + "bits": [ 9736 ], + "attributes": { + } + }, + "$abc$39259$n4437": { + "hide_name": 1, + "bits": [ 9741 ], + "attributes": { + } + }, + "$abc$39259$n4438": { + "hide_name": 1, + "bits": [ 9747 ], + "attributes": { + } + }, + "$abc$39259$n4439": { + "hide_name": 1, + "bits": [ 9750 ], + "attributes": { + } + }, + "$abc$39259$n4440": { + "hide_name": 1, + "bits": [ 9751 ], + "attributes": { + } + }, + "$abc$39259$n4441": { + "hide_name": 1, + "bits": [ 9748 ], + "attributes": { + } + }, + "$abc$39259$n4442": { + "hide_name": 1, + "bits": [ 9744 ], + "attributes": { + } + }, + "$abc$39259$n4443": { + "hide_name": 1, + "bits": [ 9740 ], + "attributes": { + } + }, + "$abc$39259$n4445": { + "hide_name": 1, + "bits": [ 9762 ], + "attributes": { + } + }, + "$abc$39259$n4446": { + "hide_name": 1, + "bits": [ 9766 ], + "attributes": { + } + }, + "$abc$39259$n4447": { + "hide_name": 1, + "bits": [ 9772 ], + "attributes": { + } + }, + "$abc$39259$n4448": { + "hide_name": 1, + "bits": [ 9765 ], + "attributes": { + } + }, + "$abc$39259$n4449": { + "hide_name": 1, + "bits": [ 9779 ], + "attributes": { + } + }, + "$abc$39259$n4450": { + "hide_name": 1, + "bits": [ 9767 ], + "attributes": { + } + }, + "$abc$39259$n4451": { + "hide_name": 1, + "bits": [ 9763 ], + "attributes": { + } + }, + "$abc$39259$n4453": { + "hide_name": 1, + "bits": [ 9786 ], + "attributes": { + } + }, + "$abc$39259$n4454": { + "hide_name": 1, + "bits": [ 9792 ], + "attributes": { + } + }, + "$abc$39259$n4455": { + "hide_name": 1, + "bits": [ 9798 ], + "attributes": { + } + }, + "$abc$39259$n4456": { + "hide_name": 1, + "bits": [ 9793 ], + "attributes": { + } + }, + "$abc$39259$n4457": { + "hide_name": 1, + "bits": [ 9802 ], + "attributes": { + } + }, + "$abc$39259$n4458": { + "hide_name": 1, + "bits": [ 9789 ], + "attributes": { + } + }, + "$abc$39259$n4459": { + "hide_name": 1, + "bits": [ 9785 ], + "attributes": { + } + }, + "$abc$39259$n4461": { + "hide_name": 1, + "bits": [ 9808 ], + "attributes": { + } + }, + "$abc$39259$n4462": { + "hide_name": 1, + "bits": [ 9814 ], + "attributes": { + } + }, + "$abc$39259$n4463": { + "hide_name": 1, + "bits": [ 9817 ], + "attributes": { + } + }, + "$abc$39259$n4464": { + "hide_name": 1, + "bits": [ 9818 ], + "attributes": { + } + }, + "$abc$39259$n4465": { + "hide_name": 1, + "bits": [ 9815 ], + "attributes": { + } + }, + "$abc$39259$n4466": { + "hide_name": 1, + "bits": [ 9811 ], + "attributes": { + } + }, + "$abc$39259$n4467": { + "hide_name": 1, + "bits": [ 9807 ], + "attributes": { + } + }, + "$abc$39259$n4469": { + "hide_name": 1, + "bits": [ 9830 ], + "attributes": { + } + }, + "$abc$39259$n4470": { + "hide_name": 1, + "bits": [ 9836 ], + "attributes": { + } + }, + "$abc$39259$n4471": { + "hide_name": 1, + "bits": [ 9842 ], + "attributes": { + } + }, + "$abc$39259$n4472": { + "hide_name": 1, + "bits": [ 9837 ], + "attributes": { + } + }, + "$abc$39259$n4473": { + "hide_name": 1, + "bits": [ 9846 ], + "attributes": { + } + }, + "$abc$39259$n4474": { + "hide_name": 1, + "bits": [ 9833 ], + "attributes": { + } + }, + "$abc$39259$n4475": { + "hide_name": 1, + "bits": [ 9829 ], + "attributes": { + } + }, + "$abc$39259$n4477": { + "hide_name": 1, + "bits": [ 9852 ], + "attributes": { + } + }, + "$abc$39259$n4479": { + "hide_name": 1, + "bits": [ 6112 ], + "attributes": { + } + }, + "$abc$39259$n4483": { + "hide_name": 1, + "bits": [ 9858 ], + "attributes": { + } + }, + "$abc$39259$n4485": { + "hide_name": 1, + "bits": [ 9861 ], + "attributes": { + } + }, + "$abc$39259$n4486": { + "hide_name": 1, + "bits": [ 9862 ], + "attributes": { + } + }, + "$abc$39259$n4490": { + "hide_name": 1, + "bits": [ 9869 ], + "attributes": { + } + }, + "$abc$39259$n4491": { + "hide_name": 1, + "bits": [ 9871 ], + "attributes": { + } + }, + "$abc$39259$n4493": { + "hide_name": 1, + "bits": [ 8297 ], + "attributes": { + } + }, + "$abc$39259$n4494": { + "hide_name": 1, + "bits": [ 155 ], + "attributes": { + } + }, + "$abc$39259$n4494_1": { + "hide_name": 1, + "bits": [ 9873 ], + "attributes": { + } + }, + "$abc$39259$n4495": { + "hide_name": 1, + "bits": [ 8186 ], + "attributes": { + } + }, + "$abc$39259$n4495_1": { + "hide_name": 1, + "bits": [ 9879 ], + "attributes": { + } + }, + "$abc$39259$n4496": { + "hide_name": 1, + "bits": [ 9878 ], + "attributes": { + } + }, + "$abc$39259$n4497": { + "hide_name": 1, + "bits": [ 9874 ], + "attributes": { + } + }, + "$abc$39259$n4498": { + "hide_name": 1, + "bits": [ 9880 ], + "attributes": { + } + }, + "$abc$39259$n4499": { + "hide_name": 1, + "bits": [ 8248 ], + "attributes": { + } + }, + "$abc$39259$n4499_1": { + "hide_name": 1, + "bits": [ 9875 ], + "attributes": { + } + }, + "$abc$39259$n4500": { + "hide_name": 1, + "bits": [ 9881 ], + "attributes": { + } + }, + "$abc$39259$n4501": { + "hide_name": 1, + "bits": [ 7918 ], + "attributes": { + } + }, + "$abc$39259$n4501_1": { + "hide_name": 1, + "bits": [ 9876 ], + "attributes": { + } + }, + "$abc$39259$n4502": { + "hide_name": 1, + "bits": [ 8011 ], + "attributes": { + } + }, + "$abc$39259$n4502_1": { + "hide_name": 1, + "bits": [ 9882 ], + "attributes": { + } + }, + "$abc$39259$n4503": { + "hide_name": 1, + "bits": [ 8356 ], + "attributes": { + } + }, + "$abc$39259$n4503_1": { + "hide_name": 1, + "bits": [ 9884 ], + "attributes": { + } + }, + "$abc$39259$n4504": { + "hide_name": 1, + "bits": [ 9883 ], + "attributes": { + } + }, + "$abc$39259$n4507": { + "hide_name": 1, + "bits": [ 9886 ], + "attributes": { + } + }, + "$abc$39259$n4509": { + "hide_name": 1, + "bits": [ 9888 ], + "attributes": { + } + }, + "$abc$39259$n4512": { + "hide_name": 1, + "bits": [ 9891 ], + "attributes": { + } + }, + "$abc$39259$n4513": { + "hide_name": 1, + "bits": [ 5712 ], + "attributes": { + } + }, + "$abc$39259$n4527": { + "hide_name": 1, + "bits": [ 9559 ], + "attributes": { + } + }, + "$abc$39259$n453": { + "hide_name": 1, + "bits": [ 7923 ], + "attributes": { + } + }, + "$abc$39259$n454": { + "hide_name": 1, + "bits": [ 7922 ], + "attributes": { + } + }, + "$abc$39259$n4541": { + "hide_name": 1, + "bits": [ 5432 ], + "attributes": { + } + }, + "$abc$39259$n4547": { + "hide_name": 1, + "bits": [ 9561 ], + "attributes": { + } + }, + "$abc$39259$n455": { + "hide_name": 1, + "bits": [ 7902 ], + "attributes": { + } + }, + "$abc$39259$n4561": { + "hide_name": 1, + "bits": [ 9313 ], + "attributes": { + } + }, + "$abc$39259$n4562": { + "hide_name": 1, + "bits": [ 1348 ], + "attributes": { + } + }, + "$abc$39259$n4566": { + "hide_name": 1, + "bits": [ 2925 ], + "attributes": { + } + }, + "$abc$39259$n4575": { + "hide_name": 1, + "bits": [ 219 ], + "attributes": { + } + }, + "$abc$39259$n4576": { + "hide_name": 1, + "bits": [ 220 ], + "attributes": { + } + }, + "$abc$39259$n4593": { + "hide_name": 1, + "bits": [ 713 ], + "attributes": { + } + }, + "$abc$39259$n4594": { + "hide_name": 1, + "bits": [ 3454 ], + "attributes": { + } + }, + "$abc$39259$n4595": { + "hide_name": 1, + "bits": [ 668 ], + "attributes": { + } + }, + "$abc$39259$n4596": { + "hide_name": 1, + "bits": [ 3509 ], + "attributes": { + } + }, + "$abc$39259$n4597": { + "hide_name": 1, + "bits": [ 3724 ], + "attributes": { + } + }, + "$abc$39259$n4599": { + "hide_name": 1, + "bits": [ 3496 ], + "attributes": { + } + }, + "$abc$39259$n46": { + "hide_name": 1, + "bits": [ 280 ], + "attributes": { + } + }, + "$abc$39259$n4600": { + "hide_name": 1, + "bits": [ 3617 ], + "attributes": { + } + }, + "$abc$39259$n4603": { + "hide_name": 1, + "bits": [ 9562 ], + "attributes": { + } + }, + "$abc$39259$n4604": { + "hide_name": 1, + "bits": [ 9563 ], + "attributes": { + } + }, + "$abc$39259$n4605": { + "hide_name": 1, + "bits": [ 9564 ], + "attributes": { + } + }, + "$abc$39259$n4606": { + "hide_name": 1, + "bits": [ 9565 ], + "attributes": { + } + }, + "$abc$39259$n4607": { + "hide_name": 1, + "bits": [ 9566 ], + "attributes": { + } + }, + "$abc$39259$n4608": { + "hide_name": 1, + "bits": [ 9567 ], + "attributes": { + } + }, + "$abc$39259$n4609": { + "hide_name": 1, + "bits": [ 9568 ], + "attributes": { + } + }, + "$abc$39259$n4610": { + "hide_name": 1, + "bits": [ 9569 ], + "attributes": { + } + }, + "$abc$39259$n4611": { + "hide_name": 1, + "bits": [ 9570 ], + "attributes": { + } + }, + "$abc$39259$n4629": { + "hide_name": 1, + "bits": [ 3806 ], + "attributes": { + } + }, + "$abc$39259$n4630": { + "hide_name": 1, + "bits": [ 4032 ], + "attributes": { + } + }, + "$abc$39259$n4631": { + "hide_name": 1, + "bits": [ 3994 ], + "attributes": { + } + }, + "$abc$39259$n4637": { + "hide_name": 1, + "bits": [ 3892 ], + "attributes": { + } + }, + "$abc$39259$n4638": { + "hide_name": 1, + "bits": [ 3891 ], + "attributes": { + } + }, + "$abc$39259$n4639": { + "hide_name": 1, + "bits": [ 3863 ], + "attributes": { + } + }, + "$abc$39259$n4663": { + "hide_name": 1, + "bits": [ 525 ], + "attributes": { + } + }, + "$abc$39259$n4664": { + "hide_name": 1, + "bits": [ 524 ], + "attributes": { + } + }, + "$abc$39259$n4665": { + "hide_name": 1, + "bits": [ 5125 ], + "attributes": { + } + }, + "$abc$39259$n4670": { + "hide_name": 1, + "bits": [ 4851 ], + "attributes": { + } + }, + "$abc$39259$n4674": { + "hide_name": 1, + "bits": [ 631 ], + "attributes": { + } + }, + "$abc$39259$n4678": { + "hide_name": 1, + "bits": [ 7162 ], + "attributes": { + } + }, + "$abc$39259$n4685": { + "hide_name": 1, + "bits": [ 5714 ], + "attributes": { + } + }, + "$abc$39259$n4706": { + "hide_name": 1, + "bits": [ 6741 ], + "attributes": { + } + }, + "$abc$39259$n4720": { + "hide_name": 1, + "bits": [ 7089 ], + "attributes": { + } + }, + "$abc$39259$n4721": { + "hide_name": 1, + "bits": [ 7464 ], + "attributes": { + } + }, + "$abc$39259$n4725": { + "hide_name": 1, + "bits": [ 342 ], + "attributes": { + } + }, + "$abc$39259$n4726": { + "hide_name": 1, + "bits": [ 6605 ], + "attributes": { + } + }, + "$abc$39259$n4741": { + "hide_name": 1, + "bits": [ 9576 ], + "attributes": { + } + }, + "$abc$39259$n4742": { + "hide_name": 1, + "bits": [ 9577 ], + "attributes": { + } + }, + "$abc$39259$n4743": { + "hide_name": 1, + "bits": [ 9578 ], + "attributes": { + } + }, + "$abc$39259$n4744": { + "hide_name": 1, + "bits": [ 9579 ], + "attributes": { + } + }, + "$abc$39259$n4745": { + "hide_name": 1, + "bits": [ 9580 ], + "attributes": { + } + }, + "$abc$39259$n4746": { + "hide_name": 1, + "bits": [ 9581 ], + "attributes": { + } + }, + "$abc$39259$n4747": { + "hide_name": 1, + "bits": [ 9582 ], + "attributes": { + } + }, + "$abc$39259$n4748": { + "hide_name": 1, + "bits": [ 9583 ], + "attributes": { + } + }, + "$abc$39259$n4837": { + "hide_name": 1, + "bits": [ 2216 ], + "attributes": { + } + }, + "$abc$39259$n4838": { + "hide_name": 1, + "bits": [ 2537 ], + "attributes": { + } + }, + "$abc$39259$n4839": { + "hide_name": 1, + "bits": [ 2614 ], + "attributes": { + } + }, + "$abc$39259$n4878": { + "hide_name": 1, + "bits": [ 9598 ], + "attributes": { + } + }, + "$abc$39259$n4879": { + "hide_name": 1, + "bits": [ 9599 ], + "attributes": { + } + }, + "$abc$39259$n4880": { + "hide_name": 1, + "bits": [ 9600 ], + "attributes": { + } + }, + "$abc$39259$n4881": { + "hide_name": 1, + "bits": [ 9601 ], + "attributes": { + } + }, + "$abc$39259$n4882": { + "hide_name": 1, + "bits": [ 9602 ], + "attributes": { + } + }, + "$abc$39259$n4883": { + "hide_name": 1, + "bits": [ 9603 ], + "attributes": { + } + }, + "$abc$39259$n4884": { + "hide_name": 1, + "bits": [ 9604 ], + "attributes": { + } + }, + "$abc$39259$n4885": { + "hide_name": 1, + "bits": [ 9605 ], + "attributes": { + } + }, + "$abc$39259$n489": { + "hide_name": 1, + "bits": [ 1080 ], + "attributes": { + } + }, + "$abc$39259$n490": { + "hide_name": 1, + "bits": [ 1435 ], + "attributes": { + } + }, + "$abc$39259$n492": { + "hide_name": 1, + "bits": [ 1645 ], + "attributes": { + } + }, + "$abc$39259$n4924": { + "hide_name": 1, + "bits": [ 9609 ], + "attributes": { + } + }, + "$abc$39259$n493": { + "hide_name": 1, + "bits": [ 1305 ], + "attributes": { + } + }, + "$abc$39259$n494": { + "hide_name": 1, + "bits": [ 1386 ], + "attributes": { + } + }, + "$abc$39259$n495": { + "hide_name": 1, + "bits": [ 1608 ], + "attributes": { + } + }, + "$abc$39259$n4955": { + "hide_name": 1, + "bits": [ 354 ], + "attributes": { + } + }, + "$abc$39259$n4956": { + "hide_name": 1, + "bits": [ 352 ], + "attributes": { + } + }, + "$abc$39259$n4957": { + "hide_name": 1, + "bits": [ 6805 ], + "attributes": { + } + }, + "$abc$39259$n4959": { + "hide_name": 1, + "bits": [ 5702 ], + "attributes": { + } + }, + "$abc$39259$n496": { + "hide_name": 1, + "bits": [ 1306 ], + "attributes": { + } + }, + "$abc$39259$n4960": { + "hide_name": 1, + "bits": [ 5768 ], + "attributes": { + } + }, + "$abc$39259$n4961": { + "hide_name": 1, + "bits": [ 380 ], + "attributes": { + } + }, + "$abc$39259$n4962": { + "hide_name": 1, + "bits": [ 303 ], + "attributes": { + } + }, + "$abc$39259$n4963": { + "hide_name": 1, + "bits": [ 9616 ], + "attributes": { + } + }, + "$abc$39259$n4967": { + "hide_name": 1, + "bits": [ 7710 ], + "attributes": { + } + }, + "$abc$39259$n4968": { + "hide_name": 1, + "bits": [ 7832 ], + "attributes": { + } + }, + "$abc$39259$n4969": { + "hide_name": 1, + "bits": [ 7823 ], + "attributes": { + } + }, + "$abc$39259$n497": { + "hide_name": 1, + "bits": [ 1469 ], + "attributes": { + } + }, + "$abc$39259$n498": { + "hide_name": 1, + "bits": [ 1560 ], + "attributes": { + } + }, + "$abc$39259$n4986": { + "hide_name": 1, + "bits": [ 3100 ], + "attributes": { + } + }, + "$abc$39259$n4987": { + "hide_name": 1, + "bits": [ 3065 ], + "attributes": { + } + }, + "$abc$39259$n4988": { + "hide_name": 1, + "bits": [ 3011 ], + "attributes": { + } + }, + "$abc$39259$n4989": { + "hide_name": 1, + "bits": [ 715 ], + "attributes": { + } + }, + "$abc$39259$n499": { + "hide_name": 1, + "bits": [ 9014 ], + "attributes": { + } + }, + "$abc$39259$n50": { + "hide_name": 1, + "bits": [ 329 ], + "attributes": { + } + }, + "$abc$39259$n500": { + "hide_name": 1, + "bits": [ 1121 ], + "attributes": { + } + }, + "$abc$39259$n501": { + "hide_name": 1, + "bits": [ 1082 ], + "attributes": { + } + }, + "$abc$39259$n5014": { + "hide_name": 1, + "bits": [ 9619 ], + "attributes": { + } + }, + "$abc$39259$n502": { + "hide_name": 1, + "bits": [ 9016 ], + "attributes": { + } + }, + "$abc$39259$n503": { + "hide_name": 1, + "bits": [ 1240 ], + "attributes": { + } + }, + "$abc$39259$n504": { + "hide_name": 1, + "bits": [ 1170 ], + "attributes": { + } + }, + "$abc$39259$n505": { + "hide_name": 1, + "bits": [ 9018 ], + "attributes": { + } + }, + "$abc$39259$n506": { + "hide_name": 1, + "bits": [ 1270 ], + "attributes": { + } + }, + "$abc$39259$n507": { + "hide_name": 1, + "bits": [ 1264 ], + "attributes": { + } + }, + "$abc$39259$n508": { + "hide_name": 1, + "bits": [ 9020 ], + "attributes": { + } + }, + "$abc$39259$n5084": { + "hide_name": 1, + "bits": [ 9622 ], + "attributes": { + } + }, + "$abc$39259$n509": { + "hide_name": 1, + "bits": [ 973 ], + "attributes": { + } + }, + "$abc$39259$n510": { + "hide_name": 1, + "bits": [ 963 ], + "attributes": { + } + }, + "$abc$39259$n5100": { + "hide_name": 1, + "bits": [ 217 ], + "attributes": { + } + }, + "$abc$39259$n5101": { + "hide_name": 1, + "bits": [ 7767 ], + "attributes": { + } + }, + "$abc$39259$n5105": { + "hide_name": 1, + "bits": [ 5730 ], + "attributes": { + } + }, + "$abc$39259$n5108": { + "hide_name": 1, + "bits": [ 4002 ], + "attributes": { + } + }, + "$abc$39259$n511": { + "hide_name": 1, + "bits": [ 9021 ], + "attributes": { + } + }, + "$abc$39259$n5110": { + "hide_name": 1, + "bits": [ 9623 ], + "attributes": { + } + }, + "$abc$39259$n5114": { + "hide_name": 1, + "bits": [ 7591 ], + "attributes": { + } + }, + "$abc$39259$n5118": { + "hide_name": 1, + "bits": [ 1479 ], + "attributes": { + } + }, + "$abc$39259$n5119": { + "hide_name": 1, + "bits": [ 1523 ], + "attributes": { + } + }, + "$abc$39259$n512": { + "hide_name": 1, + "bits": [ 1185 ], + "attributes": { + } + }, + "$abc$39259$n5120": { + "hide_name": 1, + "bits": [ 796 ], + "attributes": { + } + }, + "$abc$39259$n5121": { + "hide_name": 1, + "bits": [ 794 ], + "attributes": { + } + }, + "$abc$39259$n513": { + "hide_name": 1, + "bits": [ 1155 ], + "attributes": { + } + }, + "$abc$39259$n5133": { + "hide_name": 1, + "bits": [ 9625 ], + "attributes": { + } + }, + "$abc$39259$n5134": { + "hide_name": 1, + "bits": [ 993 ], + "attributes": { + } + }, + "$abc$39259$n514": { + "hide_name": 1, + "bits": [ 9022 ], + "attributes": { + } + }, + "$abc$39259$n5145": { + "hide_name": 1, + "bits": [ 3662 ], + "attributes": { + } + }, + "$abc$39259$n5146": { + "hide_name": 1, + "bits": [ 3949 ], + "attributes": { + } + }, + "$abc$39259$n5147": { + "hide_name": 1, + "bits": [ 4147 ], + "attributes": { + } + }, + "$abc$39259$n515": { + "hide_name": 1, + "bits": [ 1297 ], + "attributes": { + } + }, + "$abc$39259$n5151": { + "hide_name": 1, + "bits": [ 6484 ], + "attributes": { + } + }, + "$abc$39259$n5152": { + "hide_name": 1, + "bits": [ 6224 ], + "attributes": { + } + }, + "$abc$39259$n5153": { + "hide_name": 1, + "bits": [ 6334 ], + "attributes": { + } + }, + "$abc$39259$n5154": { + "hide_name": 1, + "bits": [ 6313 ], + "attributes": { + } + }, + "$abc$39259$n5155": { + "hide_name": 1, + "bits": [ 6109 ], + "attributes": { + } + }, + "$abc$39259$n5156": { + "hide_name": 1, + "bits": [ 6339 ], + "attributes": { + } + }, + "$abc$39259$n5157": { + "hide_name": 1, + "bits": [ 6222 ], + "attributes": { + } + }, + "$abc$39259$n5158": { + "hide_name": 1, + "bits": [ 6365 ], + "attributes": { + } + }, + "$abc$39259$n5159": { + "hide_name": 1, + "bits": [ 6344 ], + "attributes": { + } + }, + "$abc$39259$n516": { + "hide_name": 1, + "bits": [ 1267 ], + "attributes": { + } + }, + "$abc$39259$n5162": { + "hide_name": 1, + "bits": [ 6311 ], + "attributes": { + } + }, + "$abc$39259$n5166": { + "hide_name": 1, + "bits": [ 6367 ], + "attributes": { + } + }, + "$abc$39259$n5167": { + "hide_name": 1, + "bits": [ 6346 ], + "attributes": { + } + }, + "$abc$39259$n5168": { + "hide_name": 1, + "bits": [ 5882 ], + "attributes": { + } + }, + "$abc$39259$n5169": { + "hide_name": 1, + "bits": [ 6396 ], + "attributes": { + } + }, + "$abc$39259$n517": { + "hide_name": 1, + "bits": [ 9023 ], + "attributes": { + } + }, + "$abc$39259$n5172": { + "hide_name": 1, + "bits": [ 6370 ], + "attributes": { + } + }, + "$abc$39259$n5173": { + "hide_name": 1, + "bits": [ 6571 ], + "attributes": { + } + }, + "$abc$39259$n518": { + "hide_name": 1, + "bits": [ 1288 ], + "attributes": { + } + }, + "$abc$39259$n5188": { + "hide_name": 1, + "bits": [ 9181 ], + "attributes": { + } + }, + "$abc$39259$n519": { + "hide_name": 1, + "bits": [ 1266 ], + "attributes": { + } + }, + "$abc$39259$n5191": { + "hide_name": 1, + "bits": [ 4026 ], + "attributes": { + } + }, + "$abc$39259$n5196": { + "hide_name": 1, + "bits": [ 3514 ], + "attributes": { + } + }, + "$abc$39259$n5197": { + "hide_name": 1, + "bits": [ 3603 ], + "attributes": { + } + }, + "$abc$39259$n520": { + "hide_name": 1, + "bits": [ 9024 ], + "attributes": { + } + }, + "$abc$39259$n5202": { + "hide_name": 1, + "bits": [ 3781 ], + "attributes": { + } + }, + "$abc$39259$n5204": { + "hide_name": 1, + "bits": [ 3542 ], + "attributes": { + } + }, + "$abc$39259$n5205": { + "hide_name": 1, + "bits": [ 3722 ], + "attributes": { + } + }, + "$abc$39259$n5206": { + "hide_name": 1, + "bits": [ 4015 ], + "attributes": { + } + }, + "$abc$39259$n5207": { + "hide_name": 1, + "bits": [ 3532 ], + "attributes": { + } + }, + "$abc$39259$n5208": { + "hide_name": 1, + "bits": [ 3677 ], + "attributes": { + } + }, + "$abc$39259$n521": { + "hide_name": 1, + "bits": [ 1176 ], + "attributes": { + } + }, + "$abc$39259$n5210": { + "hide_name": 1, + "bits": [ 3590 ], + "attributes": { + } + }, + "$abc$39259$n5211": { + "hide_name": 1, + "bits": [ 3974 ], + "attributes": { + } + }, + "$abc$39259$n5212": { + "hide_name": 1, + "bits": [ 3937 ], + "attributes": { + } + }, + "$abc$39259$n5213": { + "hide_name": 1, + "bits": [ 9440 ], + "attributes": { + } + }, + "$abc$39259$n5215": { + "hide_name": 1, + "bits": [ 669 ], + "attributes": { + } + }, + "$abc$39259$n5216": { + "hide_name": 1, + "bits": [ 3670 ], + "attributes": { + } + }, + "$abc$39259$n5218": { + "hide_name": 1, + "bits": [ 5672 ], + "attributes": { + } + }, + "$abc$39259$n5219": { + "hide_name": 1, + "bits": [ 6062 ], + "attributes": { + } + }, + "$abc$39259$n522": { + "hide_name": 1, + "bits": [ 1154 ], + "attributes": { + } + }, + "$abc$39259$n5220": { + "hide_name": 1, + "bits": [ 5984 ], + "attributes": { + } + }, + "$abc$39259$n5223": { + "hide_name": 1, + "bits": [ 6129 ], + "attributes": { + } + }, + "$abc$39259$n5224": { + "hide_name": 1, + "bits": [ 6093 ], + "attributes": { + } + }, + "$abc$39259$n5229": { + "hide_name": 1, + "bits": [ 6970 ], + "attributes": { + } + }, + "$abc$39259$n523": { + "hide_name": 1, + "bits": [ 9025 ], + "attributes": { + } + }, + "$abc$39259$n5230": { + "hide_name": 1, + "bits": [ 6972 ], + "attributes": { + } + }, + "$abc$39259$n5231": { + "hide_name": 1, + "bits": [ 7022 ], + "attributes": { + } + }, + "$abc$39259$n5232": { + "hide_name": 1, + "bits": [ 6953 ], + "attributes": { + } + }, + "$abc$39259$n5233": { + "hide_name": 1, + "bits": [ 7052 ], + "attributes": { + } + }, + "$abc$39259$n5236": { + "hide_name": 1, + "bits": [ 7165 ], + "attributes": { + } + }, + "$abc$39259$n5237": { + "hide_name": 1, + "bits": [ 7198 ], + "attributes": { + } + }, + "$abc$39259$n5238": { + "hide_name": 1, + "bits": [ 7460 ], + "attributes": { + } + }, + "$abc$39259$n524": { + "hide_name": 1, + "bits": [ 1072 ], + "attributes": { + } + }, + "$abc$39259$n5240": { + "hide_name": 1, + "bits": [ 7020 ], + "attributes": { + } + }, + "$abc$39259$n5244": { + "hide_name": 1, + "bits": [ 6309 ], + "attributes": { + } + }, + "$abc$39259$n5245": { + "hide_name": 1, + "bits": [ 6310 ], + "attributes": { + } + }, + "$abc$39259$n5247": { + "hide_name": 1, + "bits": [ 7437 ], + "attributes": { + } + }, + "$abc$39259$n5248": { + "hide_name": 1, + "bits": [ 247 ], + "attributes": { + } + }, + "$abc$39259$n5249": { + "hide_name": 1, + "bits": [ 245 ], + "attributes": { + } + }, + "$abc$39259$n525": { + "hide_name": 1, + "bits": [ 1030 ], + "attributes": { + } + }, + "$abc$39259$n526": { + "hide_name": 1, + "bits": [ 9026 ], + "attributes": { + } + }, + "$abc$39259$n5263": { + "hide_name": 1, + "bits": [ 1896 ], + "attributes": { + } + }, + "$abc$39259$n5268": { + "hide_name": 1, + "bits": [ 330 ], + "attributes": { + } + }, + "$abc$39259$n5269": { + "hide_name": 1, + "bits": [ 6485 ], + "attributes": { + } + }, + "$abc$39259$n527": { + "hide_name": 1, + "bits": [ 1045 ], + "attributes": { + } + }, + "$abc$39259$n5270": { + "hide_name": 1, + "bits": [ 6840 ], + "attributes": { + } + }, + "$abc$39259$n5274": { + "hide_name": 1, + "bits": [ 6361 ], + "attributes": { + } + }, + "$abc$39259$n5275": { + "hide_name": 1, + "bits": [ 6606 ], + "attributes": { + } + }, + "$abc$39259$n5276": { + "hide_name": 1, + "bits": [ 6345 ], + "attributes": { + } + }, + "$abc$39259$n5277": { + "hide_name": 1, + "bits": [ 6528 ], + "attributes": { + } + }, + "$abc$39259$n5278": { + "hide_name": 1, + "bits": [ 6623 ], + "attributes": { + } + }, + "$abc$39259$n528": { + "hide_name": 1, + "bits": [ 1028 ], + "attributes": { + } + }, + "$abc$39259$n5284": { + "hide_name": 1, + "bits": [ 6244 ], + "attributes": { + } + }, + "$abc$39259$n5285": { + "hide_name": 1, + "bits": [ 6203 ], + "attributes": { + } + }, + "$abc$39259$n5286": { + "hide_name": 1, + "bits": [ 6190 ], + "attributes": { + } + }, + "$abc$39259$n5289": { + "hide_name": 1, + "bits": [ 9629 ], + "attributes": { + } + }, + "$abc$39259$n529": { + "hide_name": 1, + "bits": [ 9027 ], + "attributes": { + } + }, + "$abc$39259$n5290": { + "hide_name": 1, + "bits": [ 9265 ], + "attributes": { + } + }, + "$abc$39259$n5291": { + "hide_name": 1, + "bits": [ 89 ], + "attributes": { + } + }, + "$abc$39259$n5292": { + "hide_name": 1, + "bits": [ 87 ], + "attributes": { + } + }, + "$abc$39259$n5293": { + "hide_name": 1, + "bits": [ 9630 ], + "attributes": { + } + }, + "$abc$39259$n5294": { + "hide_name": 1, + "bits": [ 8312 ], + "attributes": { + } + }, + "$abc$39259$n5295": { + "hide_name": 1, + "bits": [ 8767 ], + "attributes": { + } + }, + "$abc$39259$n5296": { + "hide_name": 1, + "bits": [ 8755 ], + "attributes": { + } + }, + "$abc$39259$n5297": { + "hide_name": 1, + "bits": [ 8644 ], + "attributes": { + } + }, + "$abc$39259$n5298": { + "hide_name": 1, + "bits": [ 8623 ], + "attributes": { + } + }, + "$abc$39259$n5299": { + "hide_name": 1, + "bits": [ 8827 ], + "attributes": { + } + }, + "$abc$39259$n530": { + "hide_name": 1, + "bits": [ 1138 ], + "attributes": { + } + }, + "$abc$39259$n5300": { + "hide_name": 1, + "bits": [ 8757 ], + "attributes": { + } + }, + "$abc$39259$n5301": { + "hide_name": 1, + "bits": [ 8869 ], + "attributes": { + } + }, + "$abc$39259$n5302": { + "hide_name": 1, + "bits": [ 8857 ], + "attributes": { + } + }, + "$abc$39259$n5303": { + "hide_name": 1, + "bits": [ 8281 ], + "attributes": { + } + }, + "$abc$39259$n5304": { + "hide_name": 1, + "bits": [ 8505 ], + "attributes": { + } + }, + "$abc$39259$n5305": { + "hide_name": 1, + "bits": [ 8441 ], + "attributes": { + } + }, + "$abc$39259$n5306": { + "hide_name": 1, + "bits": [ 8920 ], + "attributes": { + } + }, + "$abc$39259$n5307": { + "hide_name": 1, + "bits": [ 8860 ], + "attributes": { + } + }, + "$abc$39259$n5308": { + "hide_name": 1, + "bits": [ 8257 ], + "attributes": { + } + }, + "$abc$39259$n5309": { + "hide_name": 1, + "bits": [ 8256 ], + "attributes": { + } + }, + "$abc$39259$n531": { + "hide_name": 1, + "bits": [ 1087 ], + "attributes": { + } + }, + "$abc$39259$n5310": { + "hide_name": 1, + "bits": [ 8412 ], + "attributes": { + } + }, + "$abc$39259$n532": { + "hide_name": 1, + "bits": [ 9028 ], + "attributes": { + } + }, + "$abc$39259$n533": { + "hide_name": 1, + "bits": [ 1102 ], + "attributes": { + } + }, + "$abc$39259$n5330": { + "hide_name": 1, + "bits": [ 3837 ], + "attributes": { + } + }, + "$abc$39259$n5331": { + "hide_name": 1, + "bits": [ 3836 ], + "attributes": { + } + }, + "$abc$39259$n5332": { + "hide_name": 1, + "bits": [ 623 ], + "attributes": { + } + }, + "$abc$39259$n5334": { + "hide_name": 1, + "bits": [ 3733 ], + "attributes": { + } + }, + "$abc$39259$n5335": { + "hide_name": 1, + "bits": [ 3971 ], + "attributes": { + } + }, + "$abc$39259$n5336": { + "hide_name": 1, + "bits": [ 3932 ], + "attributes": { + } + }, + "$abc$39259$n5338": { + "hide_name": 1, + "bits": [ 2566 ], + "attributes": { + } + }, + "$abc$39259$n5339": { + "hide_name": 1, + "bits": [ 2553 ], + "attributes": { + } + }, + "$abc$39259$n534": { + "hide_name": 1, + "bits": [ 9029 ], + "attributes": { + } + }, + "$abc$39259$n5340": { + "hide_name": 1, + "bits": [ 2672 ], + "attributes": { + } + }, + "$abc$39259$n5342": { + "hide_name": 1, + "bits": [ 3723 ], + "attributes": { + } + }, + "$abc$39259$n5344": { + "hide_name": 1, + "bits": [ 3688 ], + "attributes": { + } + }, + "$abc$39259$n5345": { + "hide_name": 1, + "bits": [ 3615 ], + "attributes": { + } + }, + "$abc$39259$n535": { + "hide_name": 1, + "bits": [ 1331 ], + "attributes": { + } + }, + "$abc$39259$n5353": { + "hide_name": 1, + "bits": [ 7510 ], + "attributes": { + } + }, + "$abc$39259$n5354": { + "hide_name": 1, + "bits": [ 7489 ], + "attributes": { + } + }, + "$abc$39259$n536": { + "hide_name": 1, + "bits": [ 9030 ], + "attributes": { + } + }, + "$abc$39259$n5364": { + "hide_name": 1, + "bits": [ 4434 ], + "attributes": { + } + }, + "$abc$39259$n5365": { + "hide_name": 1, + "bits": [ 4536 ], + "attributes": { + } + }, + "$abc$39259$n5366": { + "hide_name": 1, + "bits": [ 4326 ], + "attributes": { + } + }, + "$abc$39259$n5367": { + "hide_name": 1, + "bits": [ 4533 ], + "attributes": { + } + }, + "$abc$39259$n5368": { + "hide_name": 1, + "bits": [ 4508 ], + "attributes": { + } + }, + "$abc$39259$n5369": { + "hide_name": 1, + "bits": [ 4078 ], + "attributes": { + } + }, + "$abc$39259$n537": { + "hide_name": 1, + "bits": [ 1340 ], + "attributes": { + } + }, + "$abc$39259$n5370": { + "hide_name": 1, + "bits": [ 4494 ], + "attributes": { + } + }, + "$abc$39259$n5371": { + "hide_name": 1, + "bits": [ 4451 ], + "attributes": { + } + }, + "$abc$39259$n5372": { + "hide_name": 1, + "bits": [ 4445 ], + "attributes": { + } + }, + "$abc$39259$n5373": { + "hide_name": 1, + "bits": [ 4448 ], + "attributes": { + } + }, + "$abc$39259$n5374": { + "hide_name": 1, + "bits": [ 568 ], + "attributes": { + } + }, + "$abc$39259$n538": { + "hide_name": 1, + "bits": [ 1307 ], + "attributes": { + } + }, + "$abc$39259$n539": { + "hide_name": 1, + "bits": [ 1054 ], + "attributes": { + } + }, + "$abc$39259$n5392": { + "hide_name": 1, + "bits": [ 9654 ], + "attributes": { + } + }, + "$abc$39259$n5394": { + "hide_name": 1, + "bits": [ 1794 ], + "attributes": { + } + }, + "$abc$39259$n5395": { + "hide_name": 1, + "bits": [ 1611 ], + "attributes": { + } + }, + "$abc$39259$n5396": { + "hide_name": 1, + "bits": [ 1832 ], + "attributes": { + } + }, + "$abc$39259$n5397": { + "hide_name": 1, + "bits": [ 1825 ], + "attributes": { + } + }, + "$abc$39259$n5398": { + "hide_name": 1, + "bits": [ 1623 ], + "attributes": { + } + }, + "$abc$39259$n5399": { + "hide_name": 1, + "bits": [ 1831 ], + "attributes": { + } + }, + "$abc$39259$n54": { + "hide_name": 1, + "bits": [ 378 ], + "attributes": { + } + }, + "$abc$39259$n540": { + "hide_name": 1, + "bits": [ 1033 ], + "attributes": { + } + }, + "$abc$39259$n5400": { + "hide_name": 1, + "bits": [ 1813 ], + "attributes": { + } + }, + "$abc$39259$n5401": { + "hide_name": 1, + "bits": [ 1775 ], + "attributes": { + } + }, + "$abc$39259$n5402": { + "hide_name": 1, + "bits": [ 1770 ], + "attributes": { + } + }, + "$abc$39259$n5403": { + "hide_name": 1, + "bits": [ 1767 ], + "attributes": { + } + }, + "$abc$39259$n5404": { + "hide_name": 1, + "bits": [ 1762 ], + "attributes": { + } + }, + "$abc$39259$n5405": { + "hide_name": 1, + "bits": [ 887 ], + "attributes": { + } + }, + "$abc$39259$n5406": { + "hide_name": 1, + "bits": [ 1689 ], + "attributes": { + } + }, + "$abc$39259$n5407": { + "hide_name": 1, + "bits": [ 7698 ], + "attributes": { + } + }, + "$abc$39259$n5408": { + "hide_name": 1, + "bits": [ 7872 ], + "attributes": { + } + }, + "$abc$39259$n5409": { + "hide_name": 1, + "bits": [ 7472 ], + "attributes": { + } + }, + "$abc$39259$n541": { + "hide_name": 1, + "bits": [ 9013 ], + "attributes": { + } + }, + "$abc$39259$n542": { + "hide_name": 1, + "bits": [ 1120 ], + "attributes": { + } + }, + "$abc$39259$n5424": { + "hide_name": 1, + "bits": [ 3515 ], + "attributes": { + } + }, + "$abc$39259$n543": { + "hide_name": 1, + "bits": [ 9031 ], + "attributes": { + } + }, + "$abc$39259$n544": { + "hide_name": 1, + "bits": [ 1002 ], + "attributes": { + } + }, + "$abc$39259$n5440": { + "hide_name": 1, + "bits": [ 9659 ], + "attributes": { + } + }, + "$abc$39259$n5441": { + "hide_name": 1, + "bits": [ 1220 ], + "attributes": { + } + }, + "$abc$39259$n5442": { + "hide_name": 1, + "bits": [ 9661 ], + "attributes": { + } + }, + "$abc$39259$n5443": { + "hide_name": 1, + "bits": [ 1192 ], + "attributes": { + } + }, + "$abc$39259$n5444": { + "hide_name": 1, + "bits": [ 971 ], + "attributes": { + } + }, + "$abc$39259$n5445": { + "hide_name": 1, + "bits": [ 1001 ], + "attributes": { + } + }, + "$abc$39259$n5446": { + "hide_name": 1, + "bits": [ 1745 ], + "attributes": { + } + }, + "$abc$39259$n5447": { + "hide_name": 1, + "bits": [ 1744 ], + "attributes": { + } + }, + "$abc$39259$n5448": { + "hide_name": 1, + "bits": [ 1778 ], + "attributes": { + } + }, + "$abc$39259$n5449": { + "hide_name": 1, + "bits": [ 1747 ], + "attributes": { + } + }, + "$abc$39259$n545": { + "hide_name": 1, + "bits": [ 9032 ], + "attributes": { + } + }, + "$abc$39259$n5450": { + "hide_name": 1, + "bits": [ 1526 ], + "attributes": { + } + }, + "$abc$39259$n5451": { + "hide_name": 1, + "bits": [ 1502 ], + "attributes": { + } + }, + "$abc$39259$n5452": { + "hide_name": 1, + "bits": [ 915 ], + "attributes": { + } + }, + "$abc$39259$n5453": { + "hide_name": 1, + "bits": [ 1727 ], + "attributes": { + } + }, + "$abc$39259$n5454": { + "hide_name": 1, + "bits": [ 1726 ], + "attributes": { + } + }, + "$abc$39259$n5455": { + "hide_name": 1, + "bits": [ 1855 ], + "attributes": { + } + }, + "$abc$39259$n5456": { + "hide_name": 1, + "bits": [ 1656 ], + "attributes": { + } + }, + "$abc$39259$n5457": { + "hide_name": 1, + "bits": [ 1613 ], + "attributes": { + } + }, + "$abc$39259$n5458": { + "hide_name": 1, + "bits": [ 1648 ], + "attributes": { + } + }, + "$abc$39259$n5459": { + "hide_name": 1, + "bits": [ 1612 ], + "attributes": { + } + }, + "$abc$39259$n546": { + "hide_name": 1, + "bits": [ 1110 ], + "attributes": { + } + }, + "$abc$39259$n5460": { + "hide_name": 1, + "bits": [ 1518 ], + "attributes": { + } + }, + "$abc$39259$n5462": { + "hide_name": 1, + "bits": [ 7039 ], + "attributes": { + } + }, + "$abc$39259$n5463": { + "hide_name": 1, + "bits": [ 7031 ], + "attributes": { + } + }, + "$abc$39259$n5464": { + "hide_name": 1, + "bits": [ 1664 ], + "attributes": { + } + }, + "$abc$39259$n5465": { + "hide_name": 1, + "bits": [ 1614 ], + "attributes": { + } + }, + "$abc$39259$n5467": { + "hide_name": 1, + "bits": [ 1640 ], + "attributes": { + } + }, + "$abc$39259$n5468": { + "hide_name": 1, + "bits": [ 7149 ], + "attributes": { + } + }, + "$abc$39259$n5469": { + "hide_name": 1, + "bits": [ 7003 ], + "attributes": { + } + }, + "$abc$39259$n547": { + "hide_name": 1, + "bits": [ 9033 ], + "attributes": { + } + }, + "$abc$39259$n5470": { + "hide_name": 1, + "bits": [ 1854 ], + "attributes": { + } + }, + "$abc$39259$n5471": { + "hide_name": 1, + "bits": [ 1833 ], + "attributes": { + } + }, + "$abc$39259$n5472": { + "hide_name": 1, + "bits": [ 1894 ], + "attributes": { + } + }, + "$abc$39259$n5473": { + "hide_name": 1, + "bits": [ 1867 ], + "attributes": { + } + }, + "$abc$39259$n5474": { + "hide_name": 1, + "bits": [ 1898 ], + "attributes": { + } + }, + "$abc$39259$n5475": { + "hide_name": 1, + "bits": [ 1878 ], + "attributes": { + } + }, + "$abc$39259$n5479": { + "hide_name": 1, + "bits": [ 1277 ], + "attributes": { + } + }, + "$abc$39259$n548": { + "hide_name": 1, + "bits": [ 9017 ], + "attributes": { + } + }, + "$abc$39259$n5480": { + "hide_name": 1, + "bits": [ 9455 ], + "attributes": { + } + }, + "$abc$39259$n5481": { + "hide_name": 1, + "bits": [ 982 ], + "attributes": { + } + }, + "$abc$39259$n5482": { + "hide_name": 1, + "bits": [ 1034 ], + "attributes": { + } + }, + "$abc$39259$n5483": { + "hide_name": 1, + "bits": [ 9662 ], + "attributes": { + } + }, + "$abc$39259$n5484": { + "hide_name": 1, + "bits": [ 1255 ], + "attributes": { + } + }, + "$abc$39259$n5485": { + "hide_name": 1, + "bits": [ 9663 ], + "attributes": { + } + }, + "$abc$39259$n5486": { + "hide_name": 1, + "bits": [ 1145 ], + "attributes": { + } + }, + "$abc$39259$n5487": { + "hide_name": 1, + "bits": [ 1061 ], + "attributes": { + } + }, + "$abc$39259$n5488": { + "hide_name": 1, + "bits": [ 1320 ], + "attributes": { + } + }, + "$abc$39259$n5489": { + "hide_name": 1, + "bits": [ 9664 ], + "attributes": { + } + }, + "$abc$39259$n549": { + "hide_name": 1, + "bits": [ 1269 ], + "attributes": { + } + }, + "$abc$39259$n5490": { + "hide_name": 1, + "bits": [ 1347 ], + "attributes": { + } + }, + "$abc$39259$n5491": { + "hide_name": 1, + "bits": [ 1311 ], + "attributes": { + } + }, + "$abc$39259$n5493": { + "hide_name": 1, + "bits": [ 6873 ], + "attributes": { + } + }, + "$abc$39259$n5494": { + "hide_name": 1, + "bits": [ 6923 ], + "attributes": { + } + }, + "$abc$39259$n5495": { + "hide_name": 1, + "bits": [ 7303 ], + "attributes": { + } + }, + "$abc$39259$n5496": { + "hide_name": 1, + "bits": [ 6841 ], + "attributes": { + } + }, + "$abc$39259$n5497": { + "hide_name": 1, + "bits": [ 274 ], + "attributes": { + } + }, + "$abc$39259$n5498": { + "hide_name": 1, + "bits": [ 1018 ], + "attributes": { + } + }, + "$abc$39259$n5499": { + "hide_name": 1, + "bits": [ 9457 ], + "attributes": { + } + }, + "$abc$39259$n550": { + "hide_name": 1, + "bits": [ 9019 ], + "attributes": { + } + }, + "$abc$39259$n5501": { + "hide_name": 1, + "bits": [ 1603 ], + "attributes": { + } + }, + "$abc$39259$n5505": { + "hide_name": 1, + "bits": [ 9665 ], + "attributes": { + } + }, + "$abc$39259$n5506": { + "hide_name": 1, + "bits": [ 9666 ], + "attributes": { + } + }, + "$abc$39259$n551": { + "hide_name": 1, + "bits": [ 972 ], + "attributes": { + } + }, + "$abc$39259$n5510": { + "hide_name": 1, + "bits": [ 8110 ], + "attributes": { + } + }, + "$abc$39259$n5514": { + "hide_name": 1, + "bits": [ 7440 ], + "attributes": { + } + }, + "$abc$39259$n5515": { + "hide_name": 1, + "bits": [ 7667 ], + "attributes": { + } + }, + "$abc$39259$n5516": { + "hide_name": 1, + "bits": [ 7971 ], + "attributes": { + } + }, + "$abc$39259$n5517": { + "hide_name": 1, + "bits": [ 7669 ], + "attributes": { + } + }, + "$abc$39259$n5518": { + "hide_name": 1, + "bits": [ 7668 ], + "attributes": { + } + }, + "$abc$39259$n5519": { + "hide_name": 1, + "bits": [ 8309 ], + "attributes": { + } + }, + "$abc$39259$n552": { + "hide_name": 1, + "bits": [ 1184 ], + "attributes": { + } + }, + "$abc$39259$n5520": { + "hide_name": 1, + "bits": [ 8305 ], + "attributes": { + } + }, + "$abc$39259$n5521": { + "hide_name": 1, + "bits": [ 8016 ], + "attributes": { + } + }, + "$abc$39259$n5522": { + "hide_name": 1, + "bits": [ 140 ], + "attributes": { + } + }, + "$abc$39259$n5523": { + "hide_name": 1, + "bits": [ 8208 ], + "attributes": { + } + }, + "$abc$39259$n553": { + "hide_name": 1, + "bits": [ 1296 ], + "attributes": { + } + }, + "$abc$39259$n5531": { + "hide_name": 1, + "bits": [ 1563 ], + "attributes": { + } + }, + "$abc$39259$n5532": { + "hide_name": 1, + "bits": [ 1546 ], + "attributes": { + } + }, + "$abc$39259$n5533": { + "hide_name": 1, + "bits": [ 1672 ], + "attributes": { + } + }, + "$abc$39259$n5534": { + "hide_name": 1, + "bits": [ 9668 ], + "attributes": { + } + }, + "$abc$39259$n5537": { + "hide_name": 1, + "bits": [ 1419 ], + "attributes": { + } + }, + "$abc$39259$n5538": { + "hide_name": 1, + "bits": [ 966 ], + "attributes": { + } + }, + "$abc$39259$n5539": { + "hide_name": 1, + "bits": [ 1420 ], + "attributes": { + } + }, + "$abc$39259$n554": { + "hide_name": 1, + "bits": [ 1287 ], + "attributes": { + } + }, + "$abc$39259$n5540": { + "hide_name": 1, + "bits": [ 9669 ], + "attributes": { + } + }, + "$abc$39259$n5542": { + "hide_name": 1, + "bits": [ 9670 ], + "attributes": { + } + }, + "$abc$39259$n5545": { + "hide_name": 1, + "bits": [ 9671 ], + "attributes": { + } + }, + "$abc$39259$n5546": { + "hide_name": 1, + "bits": [ 9672 ], + "attributes": { + } + }, + "$abc$39259$n5547": { + "hide_name": 1, + "bits": [ 886 ], + "attributes": { + } + }, + "$abc$39259$n5548": { + "hide_name": 1, + "bits": [ 860 ], + "attributes": { + } + }, + "$abc$39259$n5549": { + "hide_name": 1, + "bits": [ 2654 ], + "attributes": { + } + }, + "$abc$39259$n555": { + "hide_name": 1, + "bits": [ 1175 ], + "attributes": { + } + }, + "$abc$39259$n5553": { + "hide_name": 1, + "bits": [ 9673 ], + "attributes": { + } + }, + "$abc$39259$n5554": { + "hide_name": 1, + "bits": [ 9674 ], + "attributes": { + } + }, + "$abc$39259$n5555": { + "hide_name": 1, + "bits": [ 1036 ], + "attributes": { + } + }, + "$abc$39259$n5556": { + "hide_name": 1, + "bits": [ 1027 ], + "attributes": { + } + }, + "$abc$39259$n5557": { + "hide_name": 1, + "bits": [ 1221 ], + "attributes": { + } + }, + "$abc$39259$n5558": { + "hide_name": 1, + "bits": [ 9675 ], + "attributes": { + } + }, + "$abc$39259$n5559": { + "hide_name": 1, + "bits": [ 9308 ], + "attributes": { + } + }, + "$abc$39259$n556": { + "hide_name": 1, + "bits": [ 1071 ], + "attributes": { + } + }, + "$abc$39259$n5563": { + "hide_name": 1, + "bits": [ 1035 ], + "attributes": { + } + }, + "$abc$39259$n5564": { + "hide_name": 1, + "bits": [ 1111 ], + "attributes": { + } + }, + "$abc$39259$n5565": { + "hide_name": 1, + "bits": [ 839 ], + "attributes": { + } + }, + "$abc$39259$n5566": { + "hide_name": 1, + "bits": [ 2538 ], + "attributes": { + } + }, + "$abc$39259$n5569": { + "hide_name": 1, + "bits": [ 9680 ], + "attributes": { + } + }, + "$abc$39259$n557": { + "hide_name": 1, + "bits": [ 1044 ], + "attributes": { + } + }, + "$abc$39259$n5570": { + "hide_name": 1, + "bits": [ 1378 ], + "attributes": { + } + }, + "$abc$39259$n5571": { + "hide_name": 1, + "bits": [ 1453 ], + "attributes": { + } + }, + "$abc$39259$n5573": { + "hide_name": 1, + "bits": [ 9681 ], + "attributes": { + } + }, + "$abc$39259$n5574": { + "hide_name": 1, + "bits": [ 9682 ], + "attributes": { + } + }, + "$abc$39259$n558": { + "hide_name": 1, + "bits": [ 1137 ], + "attributes": { + } + }, + "$abc$39259$n5587": { + "hide_name": 1, + "bits": [ 9683 ], + "attributes": { + } + }, + "$abc$39259$n5588": { + "hide_name": 1, + "bits": [ 9684 ], + "attributes": { + } + }, + "$abc$39259$n5589": { + "hide_name": 1, + "bits": [ 6374 ], + "attributes": { + } + }, + "$abc$39259$n559": { + "hide_name": 1, + "bits": [ 1101 ], + "attributes": { + } + }, + "$abc$39259$n5590": { + "hide_name": 1, + "bits": [ 2016 ], + "attributes": { + } + }, + "$abc$39259$n5591": { + "hide_name": 1, + "bits": [ 1997 ], + "attributes": { + } + }, + "$abc$39259$n5592": { + "hide_name": 1, + "bits": [ 1845 ], + "attributes": { + } + }, + "$abc$39259$n5593": { + "hide_name": 1, + "bits": [ 2062 ], + "attributes": { + } + }, + "$abc$39259$n5594": { + "hide_name": 1, + "bits": [ 2330 ], + "attributes": { + } + }, + "$abc$39259$n5595": { + "hide_name": 1, + "bits": [ 2165 ], + "attributes": { + } + }, + "$abc$39259$n5596": { + "hide_name": 1, + "bits": [ 1899 ], + "attributes": { + } + }, + "$abc$39259$n5597": { + "hide_name": 1, + "bits": [ 1804 ], + "attributes": { + } + }, + "$abc$39259$n5598": { + "hide_name": 1, + "bits": [ 2298 ], + "attributes": { + } + }, + "$abc$39259$n5599": { + "hide_name": 1, + "bits": [ 2290 ], + "attributes": { + } + }, + "$abc$39259$n560": { + "hide_name": 1, + "bits": [ 1330 ], + "attributes": { + } + }, + "$abc$39259$n5600": { + "hide_name": 1, + "bits": [ 2218 ], + "attributes": { + } + }, + "$abc$39259$n5601": { + "hide_name": 1, + "bits": [ 2214 ], + "attributes": { + } + }, + "$abc$39259$n5602": { + "hide_name": 1, + "bits": [ 1850 ], + "attributes": { + } + }, + "$abc$39259$n5603": { + "hide_name": 1, + "bits": [ 2197 ], + "attributes": { + } + }, + "$abc$39259$n5604": { + "hide_name": 1, + "bits": [ 2534 ], + "attributes": { + } + }, + "$abc$39259$n5605": { + "hide_name": 1, + "bits": [ 1915 ], + "attributes": { + } + }, + "$abc$39259$n5606": { + "hide_name": 1, + "bits": [ 840 ], + "attributes": { + } + }, + "$abc$39259$n5607": { + "hide_name": 1, + "bits": [ 2063 ], + "attributes": { + } + }, + "$abc$39259$n5608": { + "hide_name": 1, + "bits": [ 2133 ], + "attributes": { + } + }, + "$abc$39259$n5609": { + "hide_name": 1, + "bits": [ 2131 ], + "attributes": { + } + }, + "$abc$39259$n561": { + "hide_name": 1, + "bits": [ 1369 ], + "attributes": { + } + }, + "$abc$39259$n5610": { + "hide_name": 1, + "bits": [ 9685 ], + "attributes": { + } + }, + "$abc$39259$n5611": { + "hide_name": 1, + "bits": [ 6366 ], + "attributes": { + } + }, + "$abc$39259$n5613": { + "hide_name": 1, + "bits": [ 2366 ], + "attributes": { + } + }, + "$abc$39259$n5614": { + "hide_name": 1, + "bits": [ 2249 ], + "attributes": { + } + }, + "$abc$39259$n5615": { + "hide_name": 1, + "bits": [ 843 ], + "attributes": { + } + }, + "$abc$39259$n5616": { + "hide_name": 1, + "bits": [ 2180 ], + "attributes": { + } + }, + "$abc$39259$n5617": { + "hide_name": 1, + "bits": [ 2163 ], + "attributes": { + } + }, + "$abc$39259$n5618": { + "hide_name": 1, + "bits": [ 2080 ], + "attributes": { + } + }, + "$abc$39259$n5619": { + "hide_name": 1, + "bits": [ 2072 ], + "attributes": { + } + }, + "$abc$39259$n562": { + "hide_name": 1, + "bits": [ 1653 ], + "attributes": { + } + }, + "$abc$39259$n5620": { + "hide_name": 1, + "bits": [ 876 ], + "attributes": { + } + }, + "$abc$39259$n5621": { + "hide_name": 1, + "bits": [ 2095 ], + "attributes": { + } + }, + "$abc$39259$n5622": { + "hide_name": 1, + "bits": [ 2077 ], + "attributes": { + } + }, + "$abc$39259$n5623": { + "hide_name": 1, + "bits": [ 1965 ], + "attributes": { + } + }, + "$abc$39259$n5624": { + "hide_name": 1, + "bits": [ 2375 ], + "attributes": { + } + }, + "$abc$39259$n5625": { + "hide_name": 1, + "bits": [ 2344 ], + "attributes": { + } + }, + "$abc$39259$n5626": { + "hide_name": 1, + "bits": [ 2363 ], + "attributes": { + } + }, + "$abc$39259$n5629": { + "hide_name": 1, + "bits": [ 3916 ], + "attributes": { + } + }, + "$abc$39259$n5630": { + "hide_name": 1, + "bits": [ 3919 ], + "attributes": { + } + }, + "$abc$39259$n5631": { + "hide_name": 1, + "bits": [ 3880 ], + "attributes": { + } + }, + "$abc$39259$n5640": { + "hide_name": 1, + "bits": [ 7903 ], + "attributes": { + } + }, + "$abc$39259$n5641": { + "hide_name": 1, + "bits": [ 8085 ], + "attributes": { + } + }, + "$abc$39259$n5642": { + "hide_name": 1, + "bits": [ 8012 ], + "attributes": { + } + }, + "$abc$39259$n5643": { + "hide_name": 1, + "bits": [ 8238 ], + "attributes": { + } + }, + "$abc$39259$n5644": { + "hide_name": 1, + "bits": [ 8024 ], + "attributes": { + } + }, + "$abc$39259$n5645": { + "hide_name": 1, + "bits": [ 8213 ], + "attributes": { + } + }, + "$abc$39259$n5646": { + "hide_name": 1, + "bits": [ 2414 ], + "attributes": { + } + }, + "$abc$39259$n5647": { + "hide_name": 1, + "bits": [ 2396 ], + "attributes": { + } + }, + "$abc$39259$n5648": { + "hide_name": 1, + "bits": [ 2429 ], + "attributes": { + } + }, + "$abc$39259$n5649": { + "hide_name": 1, + "bits": [ 2467 ], + "attributes": { + } + }, + "$abc$39259$n5650": { + "hide_name": 1, + "bits": [ 2397 ], + "attributes": { + } + }, + "$abc$39259$n5651": { + "hide_name": 1, + "bits": [ 2329 ], + "attributes": { + } + }, + "$abc$39259$n5652": { + "hide_name": 1, + "bits": [ 2372 ], + "attributes": { + } + }, + "$abc$39259$n5653": { + "hide_name": 1, + "bits": [ 2381 ], + "attributes": { + } + }, + "$abc$39259$n5654": { + "hide_name": 1, + "bits": [ 2486 ], + "attributes": { + } + }, + "$abc$39259$n5659": { + "hide_name": 1, + "bits": [ 9368 ], + "attributes": { + } + }, + "$abc$39259$n5663": { + "hide_name": 1, + "bits": [ 9724 ], + "attributes": { + } + }, + "$abc$39259$n5665": { + "hide_name": 1, + "bits": [ 9729 ], + "attributes": { + } + }, + "$abc$39259$n5667": { + "hide_name": 1, + "bits": [ 9690 ], + "attributes": { + } + }, + "$abc$39259$n5669": { + "hide_name": 1, + "bits": [ 57 ], + "attributes": { + } + }, + "$abc$39259$n5670": { + "hide_name": 1, + "bits": [ 9730 ], + "attributes": { + } + }, + "$abc$39259$n5673": { + "hide_name": 1, + "bits": [ 4126 ], + "attributes": { + } + }, + "$abc$39259$n5674": { + "hide_name": 1, + "bits": [ 4418 ], + "attributes": { + } + }, + "$abc$39259$n5675": { + "hide_name": 1, + "bits": [ 4848 ], + "attributes": { + } + }, + "$abc$39259$n5678": { + "hide_name": 1, + "bits": [ 6343 ], + "attributes": { + } + }, + "$abc$39259$n5679": { + "hide_name": 1, + "bits": [ 6288 ], + "attributes": { + } + }, + "$abc$39259$n5680": { + "hide_name": 1, + "bits": [ 6275 ], + "attributes": { + } + }, + "$abc$39259$n5682": { + "hide_name": 1, + "bits": [ 6206 ], + "attributes": { + } + }, + "$abc$39259$n5683": { + "hide_name": 1, + "bits": [ 405 ], + "attributes": { + } + }, + "$abc$39259$n5684": { + "hide_name": 1, + "bits": [ 6144 ], + "attributes": { + } + }, + "$abc$39259$n5689": { + "hide_name": 1, + "bits": [ 5937 ], + "attributes": { + } + }, + "$abc$39259$n5690": { + "hide_name": 1, + "bits": [ 5675 ], + "attributes": { + } + }, + "$abc$39259$n5691": { + "hide_name": 1, + "bits": [ 6077 ], + "attributes": { + } + }, + "$abc$39259$n5693": { + "hide_name": 1, + "bits": [ 5689 ], + "attributes": { + } + }, + "$abc$39259$n5694": { + "hide_name": 1, + "bits": [ 6002 ], + "attributes": { + } + }, + "$abc$39259$n5696": { + "hide_name": 1, + "bits": [ 6437 ], + "attributes": { + } + }, + "$abc$39259$n5697": { + "hide_name": 1, + "bits": [ 6454 ], + "attributes": { + } + }, + "$abc$39259$n5699": { + "hide_name": 1, + "bits": [ 347 ], + "attributes": { + } + }, + "$abc$39259$n5700": { + "hide_name": 1, + "bits": [ 6711 ], + "attributes": { + } + }, + "$abc$39259$n5716": { + "hide_name": 1, + "bits": [ 7259 ], + "attributes": { + } + }, + "$abc$39259$n5719": { + "hide_name": 1, + "bits": [ 7306 ], + "attributes": { + } + }, + "$abc$39259$n5727": { + "hide_name": 1, + "bits": [ 7934 ], + "attributes": { + } + }, + "$abc$39259$n5728": { + "hide_name": 1, + "bits": [ 7715 ], + "attributes": { + } + }, + "$abc$39259$n5729": { + "hide_name": 1, + "bits": [ 7942 ], + "attributes": { + } + }, + "$abc$39259$n573": { + "hide_name": 1, + "bits": [ 769 ], + "attributes": { + } + }, + "$abc$39259$n5730": { + "hide_name": 1, + "bits": [ 7871 ], + "attributes": { + } + }, + "$abc$39259$n5731": { + "hide_name": 1, + "bits": [ 203 ], + "attributes": { + } + }, + "$abc$39259$n5732": { + "hide_name": 1, + "bits": [ 9719 ], + "attributes": { + } + }, + "$abc$39259$n5736": { + "hide_name": 1, + "bits": [ 1304 ], + "attributes": { + } + }, + "$abc$39259$n5737": { + "hide_name": 1, + "bits": [ 1437 ], + "attributes": { + } + }, + "$abc$39259$n5739": { + "hide_name": 1, + "bits": [ 9543 ], + "attributes": { + } + }, + "$abc$39259$n574": { + "hide_name": 1, + "bits": [ 766 ], + "attributes": { + } + }, + "$abc$39259$n5740": { + "hide_name": 1, + "bits": [ 9538 ], + "attributes": { + } + }, + "$abc$39259$n5741": { + "hide_name": 1, + "bits": [ 9544 ], + "attributes": { + } + }, + "$abc$39259$n5742": { + "hide_name": 1, + "bits": [ 9865 ], + "attributes": { + } + }, + "$abc$39259$n5743": { + "hide_name": 1, + "bits": [ 9860 ], + "attributes": { + } + }, + "$abc$39259$n5744": { + "hide_name": 1, + "bits": [ 9866 ], + "attributes": { + } + }, + "$abc$39259$n5745": { + "hide_name": 1, + "bits": [ 9469 ], + "attributes": { + } + }, + "$abc$39259$n5746": { + "hide_name": 1, + "bits": [ 9461 ], + "attributes": { + } + }, + "$abc$39259$n5747": { + "hide_name": 1, + "bits": [ 9470 ], + "attributes": { + } + }, + "$abc$39259$n5751": { + "hide_name": 1, + "bits": [ 1119 ], + "attributes": { + } + }, + "$abc$39259$n5752": { + "hide_name": 1, + "bits": [ 1238 ], + "attributes": { + } + }, + "$abc$39259$n5753": { + "hide_name": 1, + "bits": [ 1268 ], + "attributes": { + } + }, + "$abc$39259$n5754": { + "hide_name": 1, + "bits": [ 991 ], + "attributes": { + } + }, + "$abc$39259$n5755": { + "hide_name": 1, + "bits": [ 9624 ], + "attributes": { + } + }, + "$abc$39259$n5758": { + "hide_name": 1, + "bits": [ 1470 ], + "attributes": { + } + }, + "$abc$39259$n5759": { + "hide_name": 1, + "bits": [ 1222 ], + "attributes": { + } + }, + "$abc$39259$n576": { + "hide_name": 1, + "bits": [ 745 ], + "attributes": { + } + }, + "$abc$39259$n5760": { + "hide_name": 1, + "bits": [ 1003 ], + "attributes": { + } + }, + "$abc$39259$n5761": { + "hide_name": 1, + "bits": [ 1194 ], + "attributes": { + } + }, + "$abc$39259$n5764": { + "hide_name": 1, + "bits": [ 1339 ], + "attributes": { + } + }, + "$abc$39259$n5765": { + "hide_name": 1, + "bits": [ 1863 ], + "attributes": { + } + }, + "$abc$39259$n5766": { + "hide_name": 1, + "bits": [ 1860 ], + "attributes": { + } + }, + "$abc$39259$n5767": { + "hide_name": 1, + "bits": [ 1889 ], + "attributes": { + } + }, + "$abc$39259$n5768": { + "hide_name": 1, + "bits": [ 1830 ], + "attributes": { + } + }, + "$abc$39259$n5769": { + "hide_name": 1, + "bits": [ 8334 ], + "attributes": { + } + }, + "$abc$39259$n577": { + "hide_name": 1, + "bits": [ 2664 ], + "attributes": { + } + }, + "$abc$39259$n5776": { + "hide_name": 1, + "bits": [ 1799 ], + "attributes": { + } + }, + "$abc$39259$n5777": { + "hide_name": 1, + "bits": [ 1797 ], + "attributes": { + } + }, + "$abc$39259$n5778": { + "hide_name": 1, + "bits": [ 2487 ], + "attributes": { + } + }, + "$abc$39259$n5779": { + "hide_name": 1, + "bits": [ 2520 ], + "attributes": { + } + }, + "$abc$39259$n578": { + "hide_name": 1, + "bits": [ 2873 ], + "attributes": { + } + }, + "$abc$39259$n5780": { + "hide_name": 1, + "bits": [ 2336 ], + "attributes": { + } + }, + "$abc$39259$n5781": { + "hide_name": 1, + "bits": [ 2463 ], + "attributes": { + } + }, + "$abc$39259$n579": { + "hide_name": 1, + "bits": [ 2846 ], + "attributes": { + } + }, + "$abc$39259$n5790": { + "hide_name": 1, + "bits": [ 2718 ], + "attributes": { + } + }, + "$abc$39259$n5791": { + "hide_name": 1, + "bits": [ 2719 ], + "attributes": { + } + }, + "$abc$39259$n5792": { + "hide_name": 1, + "bits": [ 2439 ], + "attributes": { + } + }, + "$abc$39259$n5793": { + "hide_name": 1, + "bits": [ 3439 ], + "attributes": { + } + }, + "$abc$39259$n5794": { + "hide_name": 1, + "bits": [ 2981 ], + "attributes": { + } + }, + "$abc$39259$n5795": { + "hide_name": 1, + "bits": [ 3408 ], + "attributes": { + } + }, + "$abc$39259$n5796": { + "hide_name": 1, + "bits": [ 2838 ], + "attributes": { + } + }, + "$abc$39259$n5797": { + "hide_name": 1, + "bits": [ 2837 ], + "attributes": { + } + }, + "$abc$39259$n5798": { + "hide_name": 1, + "bits": [ 2794 ], + "attributes": { + } + }, + "$abc$39259$n58": { + "hide_name": 1, + "bits": [ 403 ], + "attributes": { + } + }, + "$abc$39259$n580": { + "hide_name": 1, + "bits": [ 2770 ], + "attributes": { + } + }, + "$abc$39259$n5805": { + "hide_name": 1, + "bits": [ 3254 ], + "attributes": { + } + }, + "$abc$39259$n5806": { + "hide_name": 1, + "bits": [ 3201 ], + "attributes": { + } + }, + "$abc$39259$n5807": { + "hide_name": 1, + "bits": [ 3145 ], + "attributes": { + } + }, + "$abc$39259$n5808": { + "hide_name": 1, + "bits": [ 3130 ], + "attributes": { + } + }, + "$abc$39259$n5809": { + "hide_name": 1, + "bits": [ 3296 ], + "attributes": { + } + }, + "$abc$39259$n581": { + "hide_name": 1, + "bits": [ 2760 ], + "attributes": { + } + }, + "$abc$39259$n5810": { + "hide_name": 1, + "bits": [ 3270 ], + "attributes": { + } + }, + "$abc$39259$n5813": { + "hide_name": 1, + "bits": [ 3790 ], + "attributes": { + } + }, + "$abc$39259$n5814": { + "hide_name": 1, + "bits": [ 3580 ], + "attributes": { + } + }, + "$abc$39259$n5815": { + "hide_name": 1, + "bits": [ 3579 ], + "attributes": { + } + }, + "$abc$39259$n5816": { + "hide_name": 1, + "bits": [ 3563 ], + "attributes": { + } + }, + "$abc$39259$n5817": { + "hide_name": 1, + "bits": [ 3821 ], + "attributes": { + } + }, + "$abc$39259$n5818": { + "hide_name": 1, + "bits": [ 3816 ], + "attributes": { + } + }, + "$abc$39259$n5819": { + "hide_name": 1, + "bits": [ 3999 ], + "attributes": { + } + }, + "$abc$39259$n582": { + "hide_name": 1, + "bits": [ 3045 ], + "attributes": { + } + }, + "$abc$39259$n5820": { + "hide_name": 1, + "bits": [ 3998 ], + "attributes": { + } + }, + "$abc$39259$n5821": { + "hide_name": 1, + "bits": [ 3977 ], + "attributes": { + } + }, + "$abc$39259$n5822": { + "hide_name": 1, + "bits": [ 4029 ], + "attributes": { + } + }, + "$abc$39259$n5823": { + "hide_name": 1, + "bits": [ 3895 ], + "attributes": { + } + }, + "$abc$39259$n5824": { + "hide_name": 1, + "bits": [ 3840 ], + "attributes": { + } + }, + "$abc$39259$n5825": { + "hide_name": 1, + "bits": [ 4362 ], + "attributes": { + } + }, + "$abc$39259$n5826": { + "hide_name": 1, + "bits": [ 3828 ], + "attributes": { + } + }, + "$abc$39259$n5827": { + "hide_name": 1, + "bits": [ 4527 ], + "attributes": { + } + }, + "$abc$39259$n5828": { + "hide_name": 1, + "bits": [ 4507 ], + "attributes": { + } + }, + "$abc$39259$n5829": { + "hide_name": 1, + "bits": [ 4543 ], + "attributes": { + } + }, + "$abc$39259$n5830": { + "hide_name": 1, + "bits": [ 4542 ], + "attributes": { + } + }, + "$abc$39259$n5831": { + "hide_name": 1, + "bits": [ 4523 ], + "attributes": { + } + }, + "$abc$39259$n5832": { + "hide_name": 1, + "bits": [ 4471 ], + "attributes": { + } + }, + "$abc$39259$n5833": { + "hide_name": 1, + "bits": [ 4331 ], + "attributes": { + } + }, + "$abc$39259$n5834": { + "hide_name": 1, + "bits": [ 4487 ], + "attributes": { + } + }, + "$abc$39259$n5835": { + "hide_name": 1, + "bits": [ 7249 ], + "attributes": { + } + }, + "$abc$39259$n5836": { + "hide_name": 1, + "bits": [ 7258 ], + "attributes": { + } + }, + "$abc$39259$n5837": { + "hide_name": 1, + "bits": [ 4950 ], + "attributes": { + } + }, + "$abc$39259$n5838": { + "hide_name": 1, + "bits": [ 4984 ], + "attributes": { + } + }, + "$abc$39259$n5839": { + "hide_name": 1, + "bits": [ 4965 ], + "attributes": { + } + }, + "$abc$39259$n5840": { + "hide_name": 1, + "bits": [ 4622 ], + "attributes": { + } + }, + "$abc$39259$n5841": { + "hide_name": 1, + "bits": [ 535 ], + "attributes": { + } + }, + "$abc$39259$n5842": { + "hide_name": 1, + "bits": [ 4933 ], + "attributes": { + } + }, + "$abc$39259$n5843": { + "hide_name": 1, + "bits": [ 4750 ], + "attributes": { + } + }, + "$abc$39259$n5844": { + "hide_name": 1, + "bits": [ 5018 ], + "attributes": { + } + }, + "$abc$39259$n5845": { + "hide_name": 1, + "bits": [ 4751 ], + "attributes": { + } + }, + "$abc$39259$n5849": { + "hide_name": 1, + "bits": [ 5211 ], + "attributes": { + } + }, + "$abc$39259$n5850": { + "hide_name": 1, + "bits": [ 466 ], + "attributes": { + } + }, + "$abc$39259$n5851": { + "hide_name": 1, + "bits": [ 5023 ], + "attributes": { + } + }, + "$abc$39259$n5852": { + "hide_name": 1, + "bits": [ 5264 ], + "attributes": { + } + }, + "$abc$39259$n5853": { + "hide_name": 1, + "bits": [ 5231 ], + "attributes": { + } + }, + "$abc$39259$n5854": { + "hide_name": 1, + "bits": [ 5541 ], + "attributes": { + } + }, + "$abc$39259$n5855": { + "hide_name": 1, + "bits": [ 5377 ], + "attributes": { + } + }, + "$abc$39259$n5856": { + "hide_name": 1, + "bits": [ 5364 ], + "attributes": { + } + }, + "$abc$39259$n5867": { + "hide_name": 1, + "bits": [ 5318 ], + "attributes": { + } + }, + "$abc$39259$n5868": { + "hide_name": 1, + "bits": [ 5733 ], + "attributes": { + } + }, + "$abc$39259$n5869": { + "hide_name": 1, + "bits": [ 5752 ], + "attributes": { + } + }, + "$abc$39259$n587": { + "hide_name": 1, + "bits": [ 2607 ], + "attributes": { + } + }, + "$abc$39259$n5870": { + "hide_name": 1, + "bits": [ 5654 ], + "attributes": { + } + }, + "$abc$39259$n5871": { + "hide_name": 1, + "bits": [ 5731 ], + "attributes": { + } + }, + "$abc$39259$n5872": { + "hide_name": 1, + "bits": [ 417 ], + "attributes": { + } + }, + "$abc$39259$n5873": { + "hide_name": 1, + "bits": [ 419 ], + "attributes": { + } + }, + "$abc$39259$n5874": { + "hide_name": 1, + "bits": [ 5412 ], + "attributes": { + } + }, + "$abc$39259$n5875": { + "hide_name": 1, + "bits": [ 5696 ], + "attributes": { + } + }, + "$abc$39259$n5876": { + "hide_name": 1, + "bits": [ 5699 ], + "attributes": { + } + }, + "$abc$39259$n5877": { + "hide_name": 1, + "bits": [ 5700 ], + "attributes": { + } + }, + "$abc$39259$n5878": { + "hide_name": 1, + "bits": [ 6451 ], + "attributes": { + } + }, + "$abc$39259$n588": { + "hide_name": 1, + "bits": [ 2605 ], + "attributes": { + } + }, + "$abc$39259$n5881": { + "hide_name": 1, + "bits": [ 6337 ], + "attributes": { + } + }, + "$abc$39259$n5882": { + "hide_name": 1, + "bits": [ 6316 ], + "attributes": { + } + }, + "$abc$39259$n5883": { + "hide_name": 1, + "bits": [ 6368 ], + "attributes": { + } + }, + "$abc$39259$n5884": { + "hide_name": 1, + "bits": [ 6347 ], + "attributes": { + } + }, + "$abc$39259$n5885": { + "hide_name": 1, + "bits": [ 6312 ], + "attributes": { + } + }, + "$abc$39259$n5886": { + "hide_name": 1, + "bits": [ 6307 ], + "attributes": { + } + }, + "$abc$39259$n5893": { + "hide_name": 1, + "bits": [ 6469 ], + "attributes": { + } + }, + "$abc$39259$n5894": { + "hide_name": 1, + "bits": [ 6421 ], + "attributes": { + } + }, + "$abc$39259$n5895": { + "hide_name": 1, + "bits": [ 6638 ], + "attributes": { + } + }, + "$abc$39259$n5896": { + "hide_name": 1, + "bits": [ 6558 ], + "attributes": { + } + }, + "$abc$39259$n5897": { + "hide_name": 1, + "bits": [ 6726 ], + "attributes": { + } + }, + "$abc$39259$n5898": { + "hide_name": 1, + "bits": [ 6666 ], + "attributes": { + } + }, + "$abc$39259$n5899": { + "hide_name": 1, + "bits": [ 6486 ], + "attributes": { + } + }, + "$abc$39259$n590": { + "hide_name": 1, + "bits": [ 2799 ], + "attributes": { + } + }, + "$abc$39259$n5900": { + "hide_name": 1, + "bits": [ 6776 ], + "attributes": { + } + }, + "$abc$39259$n5903": { + "hide_name": 1, + "bits": [ 7101 ], + "attributes": { + } + }, + "$abc$39259$n5904": { + "hide_name": 1, + "bits": [ 7290 ], + "attributes": { + } + }, + "$abc$39259$n5905": { + "hide_name": 1, + "bits": [ 7337 ], + "attributes": { + } + }, + "$abc$39259$n5906": { + "hide_name": 1, + "bits": [ 7072 ], + "attributes": { + } + }, + "$abc$39259$n591": { + "hide_name": 1, + "bits": [ 2625 ], + "attributes": { + } + }, + "$abc$39259$n5913": { + "hide_name": 1, + "bits": [ 7699 ], + "attributes": { + } + }, + "$abc$39259$n5914": { + "hide_name": 1, + "bits": [ 7694 ], + "attributes": { + } + }, + "$abc$39259$n5915": { + "hide_name": 1, + "bits": [ 7558 ], + "attributes": { + } + }, + "$abc$39259$n5916": { + "hide_name": 1, + "bits": [ 7578 ], + "attributes": { + } + }, + "$abc$39259$n5917": { + "hide_name": 1, + "bits": [ 7481 ], + "attributes": { + } + }, + "$abc$39259$n5918": { + "hide_name": 1, + "bits": [ 7461 ], + "attributes": { + } + }, + "$abc$39259$n5919": { + "hide_name": 1, + "bits": [ 7521 ], + "attributes": { + } + }, + "$abc$39259$n592": { + "hide_name": 1, + "bits": [ 2622 ], + "attributes": { + } + }, + "$abc$39259$n5920": { + "hide_name": 1, + "bits": [ 7513 ], + "attributes": { + } + }, + "$abc$39259$n5921": { + "hide_name": 1, + "bits": [ 7529 ], + "attributes": { + } + }, + "$abc$39259$n5922": { + "hide_name": 1, + "bits": [ 7875 ], + "attributes": { + } + }, + "$abc$39259$n5923": { + "hide_name": 1, + "bits": [ 7879 ], + "attributes": { + } + }, + "$abc$39259$n5924": { + "hide_name": 1, + "bits": [ 7796 ], + "attributes": { + } + }, + "$abc$39259$n5925": { + "hide_name": 1, + "bits": [ 7970 ], + "attributes": { + } + }, + "$abc$39259$n5926": { + "hide_name": 1, + "bits": [ 8360 ], + "attributes": { + } + }, + "$abc$39259$n5927": { + "hide_name": 1, + "bits": [ 8285 ], + "attributes": { + } + }, + "$abc$39259$n5928": { + "hide_name": 1, + "bits": [ 8237 ], + "attributes": { + } + }, + "$abc$39259$n5929": { + "hide_name": 1, + "bits": [ 8301 ], + "attributes": { + } + }, + "$abc$39259$n593": { + "hide_name": 1, + "bits": [ 2881 ], + "attributes": { + } + }, + "$abc$39259$n5930": { + "hide_name": 1, + "bits": [ 8212 ], + "attributes": { + } + }, + "$abc$39259$n5931": { + "hide_name": 1, + "bits": [ 8151 ], + "attributes": { + } + }, + "$abc$39259$n5932": { + "hide_name": 1, + "bits": [ 141 ], + "attributes": { + } + }, + "$abc$39259$n5933": { + "hide_name": 1, + "bits": [ 8190 ], + "attributes": { + } + }, + "$abc$39259$n5934": { + "hide_name": 1, + "bits": [ 8333 ], + "attributes": { + } + }, + "$abc$39259$n5935": { + "hide_name": 1, + "bits": [ 8355 ], + "attributes": { + } + }, + "$abc$39259$n5936": { + "hide_name": 1, + "bits": [ 9107 ], + "attributes": { + } + }, + "$abc$39259$n5937": { + "hide_name": 1, + "bits": [ 8782 ], + "attributes": { + } + }, + "$abc$39259$n5938": { + "hide_name": 1, + "bits": [ 8725 ], + "attributes": { + } + }, + "$abc$39259$n594": { + "hide_name": 1, + "bits": [ 748 ], + "attributes": { + } + }, + "$abc$39259$n5947": { + "hide_name": 1, + "bits": [ 7945 ], + "attributes": { + } + }, + "$abc$39259$n5949": { + "hide_name": 1, + "bits": [ 5413 ], + "attributes": { + } + }, + "$abc$39259$n595": { + "hide_name": 1, + "bits": [ 3224 ], + "attributes": { + } + }, + "$abc$39259$n5951": { + "hide_name": 1, + "bits": [ 5548 ], + "attributes": { + } + }, + "$abc$39259$n5952": { + "hide_name": 1, + "bits": [ 2906 ], + "attributes": { + } + }, + "$abc$39259$n5953": { + "hide_name": 1, + "bits": [ 3085 ], + "attributes": { + } + }, + "$abc$39259$n5954": { + "hide_name": 1, + "bits": [ 3328 ], + "attributes": { + } + }, + "$abc$39259$n5956": { + "hide_name": 1, + "bits": [ 5652 ], + "attributes": { + } + }, + "$abc$39259$n5959": { + "hide_name": 1, + "bits": [ 5232 ], + "attributes": { + } + }, + "$abc$39259$n5962": { + "hide_name": 1, + "bits": [ 1019 ], + "attributes": { + } + }, + "$abc$39259$n5963": { + "hide_name": 1, + "bits": [ 9720 ], + "attributes": { + } + }, + "$abc$39259$n5966": { + "hide_name": 1, + "bits": [ 3373 ], + "attributes": { + } + }, + "$abc$39259$n5967": { + "hide_name": 1, + "bits": [ 9721 ], + "attributes": { + } + }, + "$abc$39259$n5968": { + "hide_name": 1, + "bits": [ 9722 ], + "attributes": { + } + }, + "$abc$39259$n5969": { + "hide_name": 1, + "bits": [ 9723 ], + "attributes": { + } + }, + "$abc$39259$n597": { + "hide_name": 1, + "bits": [ 3191 ], + "attributes": { + } + }, + "$abc$39259$n5970": { + "hide_name": 1, + "bits": [ 9728 ], + "attributes": { + } + }, + "$abc$39259$n5973": { + "hide_name": 1, + "bits": [ 5083 ], + "attributes": { + } + }, + "$abc$39259$n5974": { + "hide_name": 1, + "bits": [ 5140 ], + "attributes": { + } + }, + "$abc$39259$n5976": { + "hide_name": 1, + "bits": [ 5038 ], + "attributes": { + } + }, + "$abc$39259$n5978": { + "hide_name": 1, + "bits": [ 489 ], + "attributes": { + } + }, + "$abc$39259$n5979": { + "hide_name": 1, + "bits": [ 5081 ], + "attributes": { + } + }, + "$abc$39259$n5983": { + "hide_name": 1, + "bits": [ 9731 ], + "attributes": { + } + }, + "$abc$39259$n5984": { + "hide_name": 1, + "bits": [ 9732 ], + "attributes": { + } + }, + "$abc$39259$n5985": { + "hide_name": 1, + "bits": [ 452 ], + "attributes": { + } + }, + "$abc$39259$n5987": { + "hide_name": 1, + "bits": [ 5084 ], + "attributes": { + } + }, + "$abc$39259$n5990": { + "hide_name": 1, + "bits": [ 477 ], + "attributes": { + } + }, + "$abc$39259$n5992": { + "hide_name": 1, + "bits": [ 479 ], + "attributes": { + } + }, + "$abc$39259$n5994": { + "hide_name": 1, + "bits": [ 9733 ], + "attributes": { + } + }, + "$abc$39259$n5995": { + "hide_name": 1, + "bits": [ 1257 ], + "attributes": { + } + }, + "$abc$39259$n5996": { + "hide_name": 1, + "bits": [ 9734 ], + "attributes": { + } + }, + "$abc$39259$n5997": { + "hide_name": 1, + "bits": [ 1322 ], + "attributes": { + } + }, + "$abc$39259$n5998": { + "hide_name": 1, + "bits": [ 1203 ], + "attributes": { + } + }, + "$abc$39259$n5999": { + "hide_name": 1, + "bits": [ 9676 ], + "attributes": { + } + }, + "$abc$39259$n6002": { + "hide_name": 1, + "bits": [ 1092 ], + "attributes": { + } + }, + "$abc$39259$n6003": { + "hide_name": 1, + "bits": [ 9739 ], + "attributes": { + } + }, + "$abc$39259$n6004": { + "hide_name": 1, + "bits": [ 1256 ], + "attributes": { + } + }, + "$abc$39259$n6005": { + "hide_name": 1, + "bits": [ 1321 ], + "attributes": { + } + }, + "$abc$39259$n6007": { + "hide_name": 1, + "bits": [ 2880 ], + "attributes": { + } + }, + "$abc$39259$n6008": { + "hide_name": 1, + "bits": [ 2856 ], + "attributes": { + } + }, + "$abc$39259$n6009": { + "hide_name": 1, + "bits": [ 2613 ], + "attributes": { + } + }, + "$abc$39259$n6010": { + "hide_name": 1, + "bits": [ 5106 ], + "attributes": { + } + }, + "$abc$39259$n6011": { + "hide_name": 1, + "bits": [ 4811 ], + "attributes": { + } + }, + "$abc$39259$n6012": { + "hide_name": 1, + "bits": [ 4816 ], + "attributes": { + } + }, + "$abc$39259$n6013": { + "hide_name": 1, + "bits": [ 4764 ], + "attributes": { + } + }, + "$abc$39259$n6015": { + "hide_name": 1, + "bits": [ 4715 ], + "attributes": { + } + }, + "$abc$39259$n6016": { + "hide_name": 1, + "bits": [ 5004 ], + "attributes": { + } + }, + "$abc$39259$n6017": { + "hide_name": 1, + "bits": [ 9827 ], + "attributes": { + } + }, + "$abc$39259$n6026": { + "hide_name": 1, + "bits": [ 554 ], + "attributes": { + } + }, + "$abc$39259$n6028": { + "hide_name": 1, + "bits": [ 9782 ], + "attributes": { + } + }, + "$abc$39259$n6029": { + "hide_name": 1, + "bits": [ 9183 ], + "attributes": { + } + }, + "$abc$39259$n6030": { + "hide_name": 1, + "bits": [ 9652 ], + "attributes": { + } + }, + "$abc$39259$n6033": { + "hide_name": 1, + "bits": [ 4746 ], + "attributes": { + } + }, + "$abc$39259$n6036": { + "hide_name": 1, + "bits": [ 4564 ], + "attributes": { + } + }, + "$abc$39259$n6037": { + "hide_name": 1, + "bits": [ 3896 ], + "attributes": { + } + }, + "$abc$39259$n6041": { + "hide_name": 1, + "bits": [ 7073 ], + "attributes": { + } + }, + "$abc$39259$n6042": { + "hide_name": 1, + "bits": [ 4438 ], + "attributes": { + } + }, + "$abc$39259$n6045": { + "hide_name": 1, + "bits": [ 575 ], + "attributes": { + } + }, + "$abc$39259$n6058": { + "hide_name": 1, + "bits": [ 595 ], + "attributes": { + } + }, + "$abc$39259$n6059": { + "hide_name": 1, + "bits": [ 4234 ], + "attributes": { + } + }, + "$abc$39259$n6061": { + "hide_name": 1, + "bits": [ 4604 ], + "attributes": { + } + }, + "$abc$39259$n6063": { + "hide_name": 1, + "bits": [ 4277 ], + "attributes": { + } + }, + "$abc$39259$n6069": { + "hide_name": 1, + "bits": [ 9854 ], + "attributes": { + } + }, + "$abc$39259$n6070": { + "hide_name": 1, + "bits": [ 9855 ], + "attributes": { + } + }, + "$abc$39259$n6071": { + "hide_name": 1, + "bits": [ 9856 ], + "attributes": { + } + }, + "$abc$39259$n6072": { + "hide_name": 1, + "bits": [ 9857 ], + "attributes": { + } + }, + "$abc$39259$n608": { + "hide_name": 1, + "bits": [ 7389 ], + "attributes": { + } + }, + "$abc$39259$n6083": { + "hide_name": 1, + "bits": [ 4167 ], + "attributes": { + } + }, + "$abc$39259$n6088": { + "hide_name": 1, + "bits": [ 612 ], + "attributes": { + } + }, + "$abc$39259$n6097": { + "hide_name": 1, + "bits": [ 3824 ], + "attributes": { + } + }, + "$abc$39259$n6098": { + "hide_name": 1, + "bits": [ 5664 ], + "attributes": { + } + }, + "$abc$39259$n6099": { + "hide_name": 1, + "bits": [ 7719 ], + "attributes": { + } + }, + "$abc$39259$n610": { + "hide_name": 1, + "bits": [ 7355 ], + "attributes": { + } + }, + "$abc$39259$n6100": { + "hide_name": 1, + "bits": [ 9864 ], + "attributes": { + } + }, + "$abc$39259$n6102": { + "hide_name": 1, + "bits": [ 1093 ], + "attributes": { + } + }, + "$abc$39259$n6105": { + "hide_name": 1, + "bits": [ 6911 ], + "attributes": { + } + }, + "$abc$39259$n6122": { + "hide_name": 1, + "bits": [ 3653 ], + "attributes": { + } + }, + "$abc$39259$n6138": { + "hide_name": 1, + "bits": [ 3277 ], + "attributes": { + } + }, + "$abc$39259$n6143": { + "hide_name": 1, + "bits": [ 9867 ], + "attributes": { + } + }, + "$abc$39259$n6163": { + "hide_name": 1, + "bits": [ 5761 ], + "attributes": { + } + }, + "$abc$39259$n6185": { + "hide_name": 1, + "bits": [ 5741 ], + "attributes": { + } + }, + "$abc$39259$n62": { + "hide_name": 1, + "bits": [ 427 ], + "attributes": { + } + }, + "$abc$39259$n6243": { + "hide_name": 1, + "bits": [ 9868 ], + "attributes": { + } + }, + "$abc$39259$n6276": { + "hide_name": 1, + "bits": [ 9805 ], + "attributes": { + } + }, + "$abc$39259$n6281": { + "hide_name": 1, + "bits": [ 5695 ], + "attributes": { + } + }, + "$abc$39259$n6286": { + "hide_name": 1, + "bits": [ 5751 ], + "attributes": { + } + }, + "$abc$39259$n6290": { + "hide_name": 1, + "bits": [ 2958 ], + "attributes": { + } + }, + "$abc$39259$n6291": { + "hide_name": 1, + "bits": [ 992 ], + "attributes": { + } + }, + "$abc$39259$n6292": { + "hide_name": 1, + "bits": [ 9679 ], + "attributes": { + } + }, + "$abc$39259$n6293": { + "hide_name": 1, + "bits": [ 1278 ], + "attributes": { + } + }, + "$abc$39259$n6294": { + "hide_name": 1, + "bits": [ 5098 ], + "attributes": { + } + }, + "$abc$39259$n6295": { + "hide_name": 1, + "bits": [ 4852 ], + "attributes": { + } + }, + "$abc$39259$n6297": { + "hide_name": 1, + "bits": [ 5694 ], + "attributes": { + } + }, + "$abc$39259$n6298": { + "hide_name": 1, + "bits": [ 5495 ], + "attributes": { + } + }, + "$abc$39259$n6299": { + "hide_name": 1, + "bits": [ 4701 ], + "attributes": { + } + }, + "$abc$39259$n6300": { + "hide_name": 1, + "bits": [ 6590 ], + "attributes": { + } + }, + "$abc$39259$n6303": { + "hide_name": 1, + "bits": [ 7567 ], + "attributes": { + } + }, + "$abc$39259$n6304": { + "hide_name": 1, + "bits": [ 6792 ], + "attributes": { + } + }, + "$abc$39259$n6307": { + "hide_name": 1, + "bits": [ 1312 ], + "attributes": { + } + }, + "$abc$39259$n6309": { + "hide_name": 1, + "bits": [ 1861 ], + "attributes": { + } + }, + "$abc$39259$n6310": { + "hide_name": 1, + "bits": [ 1370 ], + "attributes": { + } + }, + "$abc$39259$n6314": { + "hide_name": 1, + "bits": [ 3115 ], + "attributes": { + } + }, + "$abc$39259$n6315": { + "hide_name": 1, + "bits": [ 3358 ], + "attributes": { + } + }, + "$abc$39259$n6317": { + "hide_name": 1, + "bits": [ 572 ], + "attributes": { + } + }, + "$abc$39259$n6323": { + "hide_name": 1, + "bits": [ 7864 ], + "attributes": { + } + }, + "$abc$39259$n6324": { + "hide_name": 1, + "bits": [ 6979 ], + "attributes": { + } + }, + "$abc$39259$n6325": { + "hide_name": 1, + "bits": [ 9870 ], + "attributes": { + } + }, + "$abc$39259$n6327": { + "hide_name": 1, + "bits": [ 9872 ], + "attributes": { + } + }, + "$abc$39259$n633": { + "hide_name": 1, + "bits": [ 9037 ], + "attributes": { + } + }, + "$abc$39259$n6335": { + "hide_name": 1, + "bits": [ 9877 ], + "attributes": { + } + }, + "$abc$39259$n6336": { + "hide_name": 1, + "bits": [ 3557 ], + "attributes": { + } + }, + "$abc$39259$n6339": { + "hide_name": 1, + "bits": [ 3556 ], + "attributes": { + } + }, + "$abc$39259$n634": { + "hide_name": 1, + "bits": [ 2861 ], + "attributes": { + } + }, + "$abc$39259$n6340": { + "hide_name": 1, + "bits": [ 3553 ], + "attributes": { + } + }, + "$abc$39259$n6341": { + "hide_name": 1, + "bits": [ 3598 ], + "attributes": { + } + }, + "$abc$39259$n6343": { + "hide_name": 1, + "bits": [ 643 ], + "attributes": { + } + }, + "$abc$39259$n6344": { + "hide_name": 1, + "bits": [ 3741 ], + "attributes": { + } + }, + "$abc$39259$n6346": { + "hide_name": 1, + "bits": [ 3455 ], + "attributes": { + } + }, + "$abc$39259$n6348": { + "hide_name": 1, + "bits": [ 3651 ], + "attributes": { + } + }, + "$abc$39259$n635": { + "hide_name": 1, + "bits": [ 3044 ], + "attributes": { + } + }, + "$abc$39259$n6350": { + "hide_name": 1, + "bits": [ 3715 ], + "attributes": { + } + }, + "$abc$39259$n6351": { + "hide_name": 1, + "bits": [ 3689 ], + "attributes": { + } + }, + "$abc$39259$n6355": { + "hide_name": 1, + "bits": [ 3488 ], + "attributes": { + } + }, + "$abc$39259$n6356": { + "hide_name": 1, + "bits": [ 3551 ], + "attributes": { + } + }, + "$abc$39259$n6357": { + "hide_name": 1, + "bits": [ 3463 ], + "attributes": { + } + }, + "$abc$39259$n6358": { + "hide_name": 1, + "bits": [ 3495 ], + "attributes": { + } + }, + "$abc$39259$n636": { + "hide_name": 1, + "bits": [ 3560 ], + "attributes": { + } + }, + "$abc$39259$n6360": { + "hide_name": 1, + "bits": [ 4733 ], + "attributes": { + } + }, + "$abc$39259$n6361": { + "hide_name": 1, + "bits": [ 4949 ], + "attributes": { + } + }, + "$abc$39259$n6363": { + "hide_name": 1, + "bits": [ 4968 ], + "attributes": { + } + }, + "$abc$39259$n6364": { + "hide_name": 1, + "bits": [ 4718 ], + "attributes": { + } + }, + "$abc$39259$n6366": { + "hide_name": 1, + "bits": [ 4006 ], + "attributes": { + } + }, + "$abc$39259$n6370": { + "hide_name": 1, + "bits": [ 9370 ], + "attributes": { + } + }, + "$abc$39259$n6372": { + "hide_name": 1, + "bits": [ 4782 ], + "attributes": { + } + }, + "$abc$39259$n6374": { + "hide_name": 1, + "bits": [ 5889 ], + "attributes": { + } + }, + "$abc$39259$n6375": { + "hide_name": 1, + "bits": [ 4220 ], + "attributes": { + } + }, + "$abc$39259$n6376": { + "hide_name": 1, + "bits": [ 4285 ], + "attributes": { + } + }, + "$abc$39259$n6378": { + "hide_name": 1, + "bits": [ 4035 ], + "attributes": { + } + }, + "$abc$39259$n6379": { + "hide_name": 1, + "bits": [ 4252 ], + "attributes": { + } + }, + "$abc$39259$n638": { + "hide_name": 1, + "bits": [ 9038 ], + "attributes": { + } + }, + "$abc$39259$n6381": { + "hide_name": 1, + "bits": [ 4349 ], + "attributes": { + } + }, + "$abc$39259$n6382": { + "hide_name": 1, + "bits": [ 4334 ], + "attributes": { + } + }, + "$abc$39259$n6384": { + "hide_name": 1, + "bits": [ 4383 ], + "attributes": { + } + }, + "$abc$39259$n6385": { + "hide_name": 1, + "bits": [ 4067 ], + "attributes": { + } + }, + "$abc$39259$n6387": { + "hide_name": 1, + "bits": [ 4267 ], + "attributes": { + } + }, + "$abc$39259$n6388": { + "hide_name": 1, + "bits": [ 4366 ], + "attributes": { + } + }, + "$abc$39259$n6389": { + "hide_name": 1, + "bits": [ 4883 ], + "attributes": { + } + }, + "$abc$39259$n6392": { + "hide_name": 1, + "bits": [ 4101 ], + "attributes": { + } + }, + "$abc$39259$n6393": { + "hide_name": 1, + "bits": [ 4268 ], + "attributes": { + } + }, + "$abc$39259$n6395": { + "hide_name": 1, + "bits": [ 4134 ], + "attributes": { + } + }, + "$abc$39259$n6396": { + "hide_name": 1, + "bits": [ 4117 ], + "attributes": { + } + }, + "$abc$39259$n6398": { + "hide_name": 1, + "bits": [ 4165 ], + "attributes": { + } + }, + "$abc$39259$n6399": { + "hide_name": 1, + "bits": [ 4166 ], + "attributes": { + } + }, + "$abc$39259$n6401": { + "hide_name": 1, + "bits": [ 4603 ], + "attributes": { + } + }, + "$abc$39259$n6402": { + "hide_name": 1, + "bits": [ 4600 ], + "attributes": { + } + }, + "$abc$39259$n6404": { + "hide_name": 1, + "bits": [ 4584 ], + "attributes": { + } + }, + "$abc$39259$n6405": { + "hide_name": 1, + "bits": [ 4530 ], + "attributes": { + } + }, + "$abc$39259$n6406": { + "hide_name": 1, + "bits": [ 9885 ], + "attributes": { + } + }, + "$abc$39259$n6413": { + "hide_name": 1, + "bits": [ 9890 ], + "attributes": { + } + }, + "$abc$39259$n642": { + "hide_name": 1, + "bits": [ 9043 ], + "attributes": { + } + }, + "$abc$39259$n6424": { + "hide_name": 1, + "bits": [ 5319 ], + "attributes": { + } + }, + "$abc$39259$n6435": { + "hide_name": 1, + "bits": [ 9893 ], + "attributes": { + } + }, + "$abc$39259$n6440": { + "hide_name": 1, + "bits": [ 4437 ], + "attributes": { + } + }, + "$abc$39259$n6441": { + "hide_name": 1, + "bits": [ 9894 ], + "attributes": { + } + }, + "$abc$39259$n6443": { + "hide_name": 1, + "bits": [ 5230 ], + "attributes": { + } + }, + "$abc$39259$n6444": { + "hide_name": 1, + "bits": [ 5433 ], + "attributes": { + } + }, + "$abc$39259$n6445": { + "hide_name": 1, + "bits": [ 9895 ], + "attributes": { + } + }, + "$abc$39259$n6446": { + "hide_name": 1, + "bits": [ 5397 ], + "attributes": { + } + }, + "$abc$39259$n6448": { + "hide_name": 1, + "bits": [ 5526 ], + "attributes": { + } + }, + "$abc$39259$n6449": { + "hide_name": 1, + "bits": [ 5589 ], + "attributes": { + } + }, + "$abc$39259$n6452": { + "hide_name": 1, + "bits": [ 5464 ], + "attributes": { + } + }, + "$abc$39259$n6454": { + "hide_name": 1, + "bits": [ 5169 ], + "attributes": { + } + }, + "$abc$39259$n6455": { + "hide_name": 1, + "bits": [ 5162 ], + "attributes": { + } + }, + "$abc$39259$n6457": { + "hide_name": 1, + "bits": [ 5109 ], + "attributes": { + } + }, + "$abc$39259$n6458": { + "hide_name": 1, + "bits": [ 499 ], + "attributes": { + } + }, + "$abc$39259$n6460": { + "hide_name": 1, + "bits": [ 5017 ], + "attributes": { + } + }, + "$abc$39259$n6461": { + "hide_name": 1, + "bits": [ 5102 ], + "attributes": { + } + }, + "$abc$39259$n6463": { + "hide_name": 1, + "bits": [ 5077 ], + "attributes": { + } + }, + "$abc$39259$n6465": { + "hide_name": 1, + "bits": [ 5159 ], + "attributes": { + } + }, + "$abc$39259$n6466": { + "hide_name": 1, + "bits": [ 5105 ], + "attributes": { + } + }, + "$abc$39259$n6467": { + "hide_name": 1, + "bits": [ 5112 ], + "attributes": { + } + }, + "$abc$39259$n6469": { + "hide_name": 1, + "bits": [ 5449 ], + "attributes": { + } + }, + "$abc$39259$n6470": { + "hide_name": 1, + "bits": [ 5542 ], + "attributes": { + } + }, + "$abc$39259$n6472": { + "hide_name": 1, + "bits": [ 5196 ], + "attributes": { + } + }, + "$abc$39259$n6474": { + "hide_name": 1, + "bits": [ 450 ], + "attributes": { + } + }, + "$abc$39259$n6475": { + "hide_name": 1, + "bits": [ 5279 ], + "attributes": { + } + }, + "$abc$39259$n6477": { + "hide_name": 1, + "bits": [ 5381 ], + "attributes": { + } + }, + "$abc$39259$n6479": { + "hide_name": 1, + "bits": [ 5116 ], + "attributes": { + } + }, + "$abc$39259$n6480": { + "hide_name": 1, + "bits": [ 5014 ], + "attributes": { + } + }, + "$abc$39259$n6481": { + "hide_name": 1, + "bits": [ 5003 ], + "attributes": { + } + }, + "$abc$39259$n6482": { + "hide_name": 1, + "bits": [ 5011 ], + "attributes": { + } + }, + "$abc$39259$n6483": { + "hide_name": 1, + "bits": [ 5133 ], + "attributes": { + } + }, + "$abc$39259$n6485": { + "hide_name": 1, + "bits": [ 5065 ], + "attributes": { + } + }, + "$abc$39259$n6489": { + "hide_name": 1, + "bits": [ 5701 ], + "attributes": { + } + }, + "$abc$39259$n6490": { + "hide_name": 1, + "bits": [ 5715 ], + "attributes": { + } + }, + "$abc$39259$n6492": { + "hide_name": 1, + "bits": [ 5744 ], + "attributes": { + } + }, + "$abc$39259$n6495": { + "hide_name": 1, + "bits": [ 5665 ], + "attributes": { + } + }, + "$abc$39259$n6496": { + "hide_name": 1, + "bits": [ 4835 ], + "attributes": { + } + }, + "$abc$39259$n6498": { + "hide_name": 1, + "bits": [ 4801 ], + "attributes": { + } + }, + "$abc$39259$n6499": { + "hide_name": 1, + "bits": [ 4819 ], + "attributes": { + } + }, + "$abc$39259$n6501": { + "hide_name": 1, + "bits": [ 5693 ], + "attributes": { + } + }, + "$abc$39259$n6503": { + "hide_name": 1, + "bits": [ 5753 ], + "attributes": { + } + }, + "$abc$39259$n6504": { + "hide_name": 1, + "bits": [ 5653 ], + "attributes": { + } + }, + "$abc$39259$n657": { + "hide_name": 1, + "bits": [ 916 ], + "attributes": { + } + }, + "$abc$39259$n658": { + "hide_name": 1, + "bits": [ 1795 ], + "attributes": { + } + }, + "$abc$39259$n66": { + "hide_name": 1, + "bits": [ 476 ], + "attributes": { + } + }, + "$abc$39259$n660": { + "hide_name": 1, + "bits": [ 865 ], + "attributes": { + } + }, + "$abc$39259$n661": { + "hide_name": 1, + "bits": [ 1536 ], + "attributes": { + } + }, + "$abc$39259$n662": { + "hide_name": 1, + "bits": [ 1862 ], + "attributes": { + } + }, + "$abc$39259$n663": { + "hide_name": 1, + "bits": [ 2059 ], + "attributes": { + } + }, + "$abc$39259$n664": { + "hide_name": 1, + "bits": [ 914 ], + "attributes": { + } + }, + "$abc$39259$n665": { + "hide_name": 1, + "bits": [ 1895 ], + "attributes": { + } + }, + "$abc$39259$n666": { + "hide_name": 1, + "bits": [ 1868 ], + "attributes": { + } + }, + "$abc$39259$n667": { + "hide_name": 1, + "bits": [ 921 ], + "attributes": { + } + }, + "$abc$39259$n668": { + "hide_name": 1, + "bits": [ 1796 ], + "attributes": { + } + }, + "$abc$39259$n669": { + "hide_name": 1, + "bits": [ 866 ], + "attributes": { + } + }, + "$abc$39259$n670": { + "hide_name": 1, + "bits": [ 1628 ], + "attributes": { + } + }, + "$abc$39259$n671": { + "hide_name": 1, + "bits": [ 1890 ], + "attributes": { + } + }, + "$abc$39259$n672": { + "hide_name": 1, + "bits": [ 2277 ], + "attributes": { + } + }, + "$abc$39259$n673": { + "hide_name": 1, + "bits": [ 1554 ], + "attributes": { + } + }, + "$abc$39259$n674": { + "hide_name": 1, + "bits": [ 1891 ], + "attributes": { + } + }, + "$abc$39259$n675": { + "hide_name": 1, + "bits": [ 1912 ], + "attributes": { + } + }, + "$abc$39259$n676": { + "hide_name": 1, + "bits": [ 1534 ], + "attributes": { + } + }, + "$abc$39259$n677": { + "hide_name": 1, + "bits": [ 1824 ], + "attributes": { + } + }, + "$abc$39259$n678": { + "hide_name": 1, + "bits": [ 2246 ], + "attributes": { + } + }, + "$abc$39259$n679": { + "hide_name": 1, + "bits": [ 1714 ], + "attributes": { + } + }, + "$abc$39259$n680": { + "hide_name": 1, + "bits": [ 1709 ], + "attributes": { + } + }, + "$abc$39259$n682": { + "hide_name": 1, + "bits": [ 1700 ], + "attributes": { + } + }, + "$abc$39259$n683": { + "hide_name": 1, + "bits": [ 1791 ], + "attributes": { + } + }, + "$abc$39259$n684": { + "hide_name": 1, + "bits": [ 1786 ], + "attributes": { + } + }, + "$abc$39259$n685": { + "hide_name": 1, + "bits": [ 888 ], + "attributes": { + } + }, + "$abc$39259$n686": { + "hide_name": 1, + "bits": [ 938 ], + "attributes": { + } + }, + "$abc$39259$n687": { + "hide_name": 1, + "bits": [ 1723 ], + "attributes": { + } + }, + "$abc$39259$n688": { + "hide_name": 1, + "bits": [ 1705 ], + "attributes": { + } + }, + "$abc$39259$n689": { + "hide_name": 1, + "bits": [ 937 ], + "attributes": { + } + }, + "$abc$39259$n690": { + "hide_name": 1, + "bits": [ 1688 ], + "attributes": { + } + }, + "$abc$39259$n691": { + "hide_name": 1, + "bits": [ 1897 ], + "attributes": { + } + }, + "$abc$39259$n692": { + "hide_name": 1, + "bits": [ 1736 ], + "attributes": { + } + }, + "$abc$39259$n693": { + "hide_name": 1, + "bits": [ 1724 ], + "attributes": { + } + }, + "$abc$39259$n694": { + "hide_name": 1, + "bits": [ 1858 ], + "attributes": { + } + }, + "$abc$39259$n695": { + "hide_name": 1, + "bits": [ 933 ], + "attributes": { + } + }, + "$abc$39259$n696": { + "hide_name": 1, + "bits": [ 925 ], + "attributes": { + } + }, + "$abc$39259$n697": { + "hide_name": 1, + "bits": [ 913 ], + "attributes": { + } + }, + "$abc$39259$n698": { + "hide_name": 1, + "bits": [ 1741 ], + "attributes": { + } + }, + "$abc$39259$n699": { + "hide_name": 1, + "bits": [ 1725 ], + "attributes": { + } + }, + "$abc$39259$n70": { + "hide_name": 1, + "bits": [ 518 ], + "attributes": { + } + }, + "$abc$39259$n700": { + "hide_name": 1, + "bits": [ 1798 ], + "attributes": { + } + }, + "$abc$39259$n701": { + "hide_name": 1, + "bits": [ 1515 ], + "attributes": { + } + }, + "$abc$39259$n702": { + "hide_name": 1, + "bits": [ 1510 ], + "attributes": { + } + }, + "$abc$39259$n703": { + "hide_name": 1, + "bits": [ 1584 ], + "attributes": { + } + }, + "$abc$39259$n704": { + "hide_name": 1, + "bits": [ 1579 ], + "attributes": { + } + }, + "$abc$39259$n705": { + "hide_name": 1, + "bits": [ 1499 ], + "attributes": { + } + }, + "$abc$39259$n706": { + "hide_name": 1, + "bits": [ 1494 ], + "attributes": { + } + }, + "$abc$39259$n707": { + "hide_name": 1, + "bits": [ 1592 ], + "attributes": { + } + }, + "$abc$39259$n708": { + "hide_name": 1, + "bits": [ 1587 ], + "attributes": { + } + }, + "$abc$39259$n709": { + "hide_name": 1, + "bits": [ 1537 ], + "attributes": { + } + }, + "$abc$39259$n710": { + "hide_name": 1, + "bits": [ 1576 ], + "attributes": { + } + }, + "$abc$39259$n711": { + "hide_name": 1, + "bits": [ 1571 ], + "attributes": { + } + }, + "$abc$39259$n712": { + "hide_name": 1, + "bits": [ 1535 ], + "attributes": { + } + }, + "$abc$39259$n713": { + "hide_name": 1, + "bits": [ 1685 ], + "attributes": { + } + }, + "$abc$39259$n714": { + "hide_name": 1, + "bits": [ 1680 ], + "attributes": { + } + }, + "$abc$39259$n715": { + "hide_name": 1, + "bits": [ 1600 ], + "attributes": { + } + }, + "$abc$39259$n716": { + "hide_name": 1, + "bits": [ 1595 ], + "attributes": { + } + }, + "$abc$39259$n717": { + "hide_name": 1, + "bits": [ 1551 ], + "attributes": { + } + }, + "$abc$39259$n718": { + "hide_name": 1, + "bits": [ 1637 ], + "attributes": { + } + }, + "$abc$39259$n719": { + "hide_name": 1, + "bits": [ 1632 ], + "attributes": { + } + }, + "$abc$39259$n720": { + "hide_name": 1, + "bits": [ 1631 ], + "attributes": { + } + }, + "$abc$39259$n721": { + "hide_name": 1, + "bits": [ 1555 ], + "attributes": { + } + }, + "$abc$39259$n722": { + "hide_name": 1, + "bits": [ 1165 ], + "attributes": { + } + }, + "$abc$39259$n723": { + "hide_name": 1, + "bits": [ 1414 ], + "attributes": { + } + }, + "$abc$39259$n724": { + "hide_name": 1, + "bits": [ 1156 ], + "attributes": { + } + }, + "$abc$39259$n725": { + "hide_name": 1, + "bits": [ 1377 ], + "attributes": { + } + }, + "$abc$39259$n726": { + "hide_name": 1, + "bits": [ 1356 ], + "attributes": { + } + }, + "$abc$39259$n727": { + "hide_name": 1, + "bits": [ 940 ], + "attributes": { + } + }, + "$abc$39259$n728": { + "hide_name": 1, + "bits": [ 939 ], + "attributes": { + } + }, + "$abc$39259$n729": { + "hide_name": 1, + "bits": [ 1079 ], + "attributes": { + } + }, + "$abc$39259$n730": { + "hide_name": 1, + "bits": [ 1381 ], + "attributes": { + } + }, + "$abc$39259$n731": { + "hide_name": 1, + "bits": [ 965 ], + "attributes": { + } + }, + "$abc$39259$n732": { + "hide_name": 1, + "bits": [ 1389 ], + "attributes": { + } + }, + "$abc$39259$n733": { + "hide_name": 1, + "bits": [ 969 ], + "attributes": { + } + }, + "$abc$39259$n734": { + "hide_name": 1, + "bits": [ 1382 ], + "attributes": { + } + }, + "$abc$39259$n735": { + "hide_name": 1, + "bits": [ 1265 ], + "attributes": { + } + }, + "$abc$39259$n736": { + "hide_name": 1, + "bits": [ 1390 ], + "attributes": { + } + }, + "$abc$39259$n737": { + "hide_name": 1, + "bits": [ 964 ], + "attributes": { + } + }, + "$abc$39259$n738": { + "hide_name": 1, + "bits": [ 1477 ], + "attributes": { + } + }, + "$abc$39259$n739": { + "hide_name": 1, + "bits": [ 1888 ], + "attributes": { + } + }, + "$abc$39259$n74": { + "hide_name": 1, + "bits": [ 550 ], + "attributes": { + } + }, + "$abc$39259$n740": { + "hide_name": 1, + "bits": [ 1887 ], + "attributes": { + } + }, + "$abc$39259$n741": { + "hide_name": 1, + "bits": [ 1866 ], + "attributes": { + } + }, + "$abc$39259$n742": { + "hide_name": 1, + "bits": [ 1822 ], + "attributes": { + } + }, + "$abc$39259$n743": { + "hide_name": 1, + "bits": [ 1801 ], + "attributes": { + } + }, + "$abc$39259$n774": { + "hide_name": 1, + "bits": [ 9082 ], + "attributes": { + } + }, + "$abc$39259$n776": { + "hide_name": 1, + "bits": [ 9083 ], + "attributes": { + } + }, + "$abc$39259$n778": { + "hide_name": 1, + "bits": [ 9085 ], + "attributes": { + } + }, + "$abc$39259$n78": { + "hide_name": 1, + "bits": [ 578 ], + "attributes": { + } + }, + "$abc$39259$n780": { + "hide_name": 1, + "bits": [ 9087 ], + "attributes": { + } + }, + "$abc$39259$n781": { + "hide_name": 1, + "bits": [ 1418 ], + "attributes": { + } + }, + "$abc$39259$n782": { + "hide_name": 1, + "bits": [ 1531 ], + "attributes": { + } + }, + "$abc$39259$n783": { + "hide_name": 1, + "bits": [ 1857 ], + "attributes": { + } + }, + "$abc$39259$n784": { + "hide_name": 1, + "bits": [ 1835 ], + "attributes": { + } + }, + "$abc$39259$n790": { + "hide_name": 1, + "bits": [ 9089 ], + "attributes": { + } + }, + "$abc$39259$n791": { + "hide_name": 1, + "bits": [ 9090 ], + "attributes": { + } + }, + "$abc$39259$n792": { + "hide_name": 1, + "bits": [ 9091 ], + "attributes": { + } + }, + "$abc$39259$n793": { + "hide_name": 1, + "bits": [ 9092 ], + "attributes": { + } + }, + "$abc$39259$n794": { + "hide_name": 1, + "bits": [ 2359 ], + "attributes": { + } + }, + "$abc$39259$n795": { + "hide_name": 1, + "bits": [ 2606 ], + "attributes": { + } + }, + "$abc$39259$n796": { + "hide_name": 1, + "bits": [ 2943 ], + "attributes": { + } + }, + "$abc$39259$n800": { + "hide_name": 1, + "bits": [ 2900 ], + "attributes": { + } + }, + "$abc$39259$n801": { + "hide_name": 1, + "bits": [ 2887 ], + "attributes": { + } + }, + "$abc$39259$n802": { + "hide_name": 1, + "bits": [ 3325 ], + "attributes": { + } + }, + "$abc$39259$n805": { + "hide_name": 1, + "bits": [ 9094 ], + "attributes": { + } + }, + "$abc$39259$n806": { + "hide_name": 1, + "bits": [ 9095 ], + "attributes": { + } + }, + "$abc$39259$n807": { + "hide_name": 1, + "bits": [ 9097 ], + "attributes": { + } + }, + "$abc$39259$n808": { + "hide_name": 1, + "bits": [ 1063 ], + "attributes": { + } + }, + "$abc$39259$n809": { + "hide_name": 1, + "bits": [ 1029 ], + "attributes": { + } + }, + "$abc$39259$n813": { + "hide_name": 1, + "bits": [ 9305 ], + "attributes": { + } + }, + "$abc$39259$n816": { + "hide_name": 1, + "bits": [ 9098 ], + "attributes": { + } + }, + "$abc$39259$n817": { + "hide_name": 1, + "bits": [ 1193 ], + "attributes": { + } + }, + "$abc$39259$n818": { + "hide_name": 1, + "bits": [ 9099 ], + "attributes": { + } + }, + "$abc$39259$n819": { + "hide_name": 1, + "bits": [ 9096 ], + "attributes": { + } + }, + "$abc$39259$n82": { + "hide_name": 1, + "bits": [ 610 ], + "attributes": { + } + }, + "$abc$39259$n820": { + "hide_name": 1, + "bits": [ 1062 ], + "attributes": { + } + }, + "$abc$39259$n821": { + "hide_name": 1, + "bits": [ 2798 ], + "attributes": { + } + }, + "$abc$39259$n822": { + "hide_name": 1, + "bits": [ 2777 ], + "attributes": { + } + }, + "$abc$39259$n823": { + "hide_name": 1, + "bits": [ 1996 ], + "attributes": { + } + }, + "$abc$39259$n824": { + "hide_name": 1, + "bits": [ 2483 ], + "attributes": { + } + }, + "$abc$39259$n826": { + "hide_name": 1, + "bits": [ 2443 ], + "attributes": { + } + }, + "$abc$39259$n827": { + "hide_name": 1, + "bits": [ 2111 ], + "attributes": { + } + }, + "$abc$39259$n828": { + "hide_name": 1, + "bits": [ 2432 ], + "attributes": { + } + }, + "$abc$39259$n829": { + "hide_name": 1, + "bits": [ 2385 ], + "attributes": { + } + }, + "$abc$39259$n830": { + "hide_name": 1, + "bits": [ 2110 ], + "attributes": { + } + }, + "$abc$39259$n831": { + "hide_name": 1, + "bits": [ 2333 ], + "attributes": { + } + }, + "$abc$39259$n832": { + "hide_name": 1, + "bits": [ 822 ], + "attributes": { + } + }, + "$abc$39259$n833": { + "hide_name": 1, + "bits": [ 2436 ], + "attributes": { + } + }, + "$abc$39259$n834": { + "hide_name": 1, + "bits": [ 2435 ], + "attributes": { + } + }, + "$abc$39259$n835": { + "hide_name": 1, + "bits": [ 2386 ], + "attributes": { + } + }, + "$abc$39259$n836": { + "hide_name": 1, + "bits": [ 831 ], + "attributes": { + } + }, + "$abc$39259$n837": { + "hide_name": 1, + "bits": [ 828 ], + "attributes": { + } + }, + "$abc$39259$n838": { + "hide_name": 1, + "bits": [ 821 ], + "attributes": { + } + }, + "$abc$39259$n839": { + "hide_name": 1, + "bits": [ 2529 ], + "attributes": { + } + }, + "$abc$39259$n840": { + "hide_name": 1, + "bits": [ 2519 ], + "attributes": { + } + }, + "$abc$39259$n841": { + "hide_name": 1, + "bits": [ 2731 ], + "attributes": { + } + }, + "$abc$39259$n842": { + "hide_name": 1, + "bits": [ 2476 ], + "attributes": { + } + }, + "$abc$39259$n843": { + "hide_name": 1, + "bits": [ 2466 ], + "attributes": { + } + }, + "$abc$39259$n844": { + "hide_name": 1, + "bits": [ 2685 ], + "attributes": { + } + }, + "$abc$39259$n845": { + "hide_name": 1, + "bits": [ 2295 ], + "attributes": { + } + }, + "$abc$39259$n846": { + "hide_name": 1, + "bits": [ 2339 ], + "attributes": { + } + }, + "$abc$39259$n847": { + "hide_name": 1, + "bits": [ 824 ], + "attributes": { + } + }, + "$abc$39259$n848": { + "hide_name": 1, + "bits": [ 1998 ], + "attributes": { + } + }, + "$abc$39259$n849": { + "hide_name": 1, + "bits": [ 2413 ], + "attributes": { + } + }, + "$abc$39259$n850": { + "hide_name": 1, + "bits": [ 797 ], + "attributes": { + } + }, + "$abc$39259$n851": { + "hide_name": 1, + "bits": [ 2217 ], + "attributes": { + } + }, + "$abc$39259$n852": { + "hide_name": 1, + "bits": [ 2369 ], + "attributes": { + } + }, + "$abc$39259$n853": { + "hide_name": 1, + "bits": [ 2354 ], + "attributes": { + } + }, + "$abc$39259$n854": { + "hide_name": 1, + "bits": [ 842 ], + "attributes": { + } + }, + "$abc$39259$n855": { + "hide_name": 1, + "bits": [ 2378 ], + "attributes": { + } + }, + "$abc$39259$n856": { + "hide_name": 1, + "bits": [ 1959 ], + "attributes": { + } + }, + "$abc$39259$n857": { + "hide_name": 1, + "bits": [ 2503 ], + "attributes": { + } + }, + "$abc$39259$n858": { + "hide_name": 1, + "bits": [ 2592 ], + "attributes": { + } + }, + "$abc$39259$n859": { + "hide_name": 1, + "bits": [ 2215 ], + "attributes": { + } + }, + "$abc$39259$n86": { + "hide_name": 1, + "bits": [ 637 ], + "attributes": { + } + }, + "$abc$39259$n860": { + "hide_name": 1, + "bits": [ 2504 ], + "attributes": { + } + }, + "$abc$39259$n861": { + "hide_name": 1, + "bits": [ 2720 ], + "attributes": { + } + }, + "$abc$39259$n862": { + "hide_name": 1, + "bits": [ 1836 ], + "attributes": { + } + }, + "$abc$39259$n863": { + "hide_name": 1, + "bits": [ 2148 ], + "attributes": { + } + }, + "$abc$39259$n865": { + "hide_name": 1, + "bits": [ 2132 ], + "attributes": { + } + }, + "$abc$39259$n866": { + "hide_name": 1, + "bits": [ 2013 ], + "attributes": { + } + }, + "$abc$39259$n867": { + "hide_name": 1, + "bits": [ 2000 ], + "attributes": { + } + }, + "$abc$39259$n868": { + "hide_name": 1, + "bits": [ 1834 ], + "attributes": { + } + }, + "$abc$39259$n869": { + "hide_name": 1, + "bits": [ 2196 ], + "attributes": { + } + }, + "$abc$39259$n870": { + "hide_name": 1, + "bits": [ 1869 ], + "attributes": { + } + }, + "$abc$39259$n871": { + "hide_name": 1, + "bits": [ 2195 ], + "attributes": { + } + }, + "$abc$39259$n872": { + "hide_name": 1, + "bits": [ 2280 ], + "attributes": { + } + }, + "$abc$39259$n873": { + "hide_name": 1, + "bits": [ 1945 ], + "attributes": { + } + }, + "$abc$39259$n874": { + "hide_name": 1, + "bits": [ 2496 ], + "attributes": { + } + }, + "$abc$39259$n875": { + "hide_name": 1, + "bits": [ 867 ], + "attributes": { + } + }, + "$abc$39259$n876": { + "hide_name": 1, + "bits": [ 2281 ], + "attributes": { + } + }, + "$abc$39259$n877": { + "hide_name": 1, + "bits": [ 2406 ], + "attributes": { + } + }, + "$abc$39259$n878": { + "hide_name": 1, + "bits": [ 1946 ], + "attributes": { + } + }, + "$abc$39259$n879": { + "hide_name": 1, + "bits": [ 2046 ], + "attributes": { + } + }, + "$abc$39259$n880": { + "hide_name": 1, + "bits": [ 1999 ], + "attributes": { + } + }, + "$abc$39259$n881": { + "hide_name": 1, + "bits": [ 2199 ], + "attributes": { + } + }, + "$abc$39259$n882": { + "hide_name": 1, + "bits": [ 2198 ], + "attributes": { + } + }, + "$abc$39259$n883": { + "hide_name": 1, + "bits": [ 2326 ], + "attributes": { + } + }, + "$abc$39259$n884": { + "hide_name": 1, + "bits": [ 2313 ], + "attributes": { + } + }, + "$abc$39259$n885": { + "hide_name": 1, + "bits": [ 864 ], + "attributes": { + } + }, + "$abc$39259$n886": { + "hide_name": 1, + "bits": [ 2031 ], + "attributes": { + } + }, + "$abc$39259$n887": { + "hide_name": 1, + "bits": [ 2264 ], + "attributes": { + } + }, + "$abc$39259$n888": { + "hide_name": 1, + "bits": [ 1883 ], + "attributes": { + } + }, + "$abc$39259$n889": { + "hide_name": 1, + "bits": [ 1930 ], + "attributes": { + } + }, + "$abc$39259$n890": { + "hide_name": 1, + "bits": [ 1993 ], + "attributes": { + } + }, + "$abc$39259$n891": { + "hide_name": 1, + "bits": [ 1980 ], + "attributes": { + } + }, + "$abc$39259$n892": { + "hide_name": 1, + "bits": [ 2233 ], + "attributes": { + } + }, + "$abc$39259$n893": { + "hide_name": 1, + "bits": [ 1859 ], + "attributes": { + } + }, + "$abc$39259$n894": { + "hide_name": 1, + "bits": [ 885 ], + "attributes": { + } + }, + "$abc$39259$n895": { + "hide_name": 1, + "bits": [ 1856 ], + "attributes": { + } + }, + "$abc$39259$n896": { + "hide_name": 1, + "bits": [ 2362 ], + "attributes": { + } + }, + "$abc$39259$n897": { + "hide_name": 1, + "bits": [ 2726 ], + "attributes": { + } + }, + "$abc$39259$n898": { + "hide_name": 1, + "bits": [ 2590 ], + "attributes": { + } + }, + "$abc$39259$n899": { + "hide_name": 1, + "bits": [ 2803 ], + "attributes": { + } + }, + "$abc$39259$n90": { + "hide_name": 1, + "bits": [ 666 ], + "attributes": { + } + }, + "$abc$39259$n900": { + "hide_name": 1, + "bits": [ 2442 ], + "attributes": { + } + }, + "$abc$39259$n901": { + "hide_name": 1, + "bits": [ 2571 ], + "attributes": { + } + }, + "$abc$39259$n902": { + "hide_name": 1, + "bits": [ 810 ], + "attributes": { + } + }, + "$abc$39259$n929": { + "hide_name": 1, + "bits": [ 9102 ], + "attributes": { + } + }, + "$abc$39259$n94": { + "hide_name": 1, + "bits": [ 711 ], + "attributes": { + } + }, + "$abc$39259$n940": { + "hide_name": 1, + "bits": [ 1568 ], + "attributes": { + } + }, + "$abc$39259$n970": { + "hide_name": 1, + "bits": [ 9134 ], + "attributes": { + } + }, + "$abc$39259$n971": { + "hide_name": 1, + "bits": [ 1204 ], + "attributes": { + } + }, + "$abc$39259$n972": { + "hide_name": 1, + "bits": [ 9135 ], + "attributes": { + } + }, + "$abc$39259$n973": { + "hide_name": 1, + "bits": [ 9136 ], + "attributes": { + } + }, + "$abc$39259$n974": { + "hide_name": 1, + "bits": [ 9137 ], + "attributes": { + } + }, + "$abc$39259$n975": { + "hide_name": 1, + "bits": [ 2779 ], + "attributes": { + } + }, + "$abc$39259$n976": { + "hide_name": 1, + "bits": [ 3281 ], + "attributes": { + } + }, + "$abc$39259$n977": { + "hide_name": 1, + "bits": [ 3269 ], + "attributes": { + } + }, + "$abc$39259$n978": { + "hide_name": 1, + "bits": [ 741 ], + "attributes": { + } + }, + "$abc$39259$n979": { + "hide_name": 1, + "bits": [ 724 ], + "attributes": { + } + }, + "$abc$39259$n98": { + "hide_name": 1, + "bits": [ 744 ], + "attributes": { + } + }, + "$abc$39259$n980": { + "hide_name": 1, + "bits": [ 712 ], + "attributes": { + } + }, + "$abc$39259$n981": { + "hide_name": 1, + "bits": [ 2844 ], + "attributes": { + } + }, + "$abc$39259$n982": { + "hide_name": 1, + "bits": [ 3343 ], + "attributes": { + } + }, + "$abc$39259$n983": { + "hide_name": 1, + "bits": [ 3272 ], + "attributes": { + } + }, + "$abc$39259$n984": { + "hide_name": 1, + "bits": [ 746 ], + "attributes": { + } + }, + "$abc$39259$n985": { + "hide_name": 1, + "bits": [ 2966 ], + "attributes": { + } + }, + "$abc$39259$n986": { + "hide_name": 1, + "bits": [ 720 ], + "attributes": { + } + }, + "$abc$39259$n987": { + "hide_name": 1, + "bits": [ 762 ], + "attributes": { + } + }, + "$abc$39259$n988": { + "hide_name": 1, + "bits": [ 3027 ], + "attributes": { + } + }, + "$abc$39259$n989": { + "hide_name": 1, + "bits": [ 723 ], + "attributes": { + } + }, + "$abc$39259$n990": { + "hide_name": 1, + "bits": [ 3042 ], + "attributes": { + } + }, + "$abc$39259$n991": { + "hide_name": 1, + "bits": [ 3510 ], + "attributes": { + } + }, + "$abc$39259$n992": { + "hide_name": 1, + "bits": [ 2778 ], + "attributes": { + } + }, + "$abc$39259$n993": { + "hide_name": 1, + "bits": [ 3424 ], + "attributes": { + } + }, + "$abc$39259$n994": { + "hide_name": 1, + "bits": [ 3375 ], + "attributes": { + } + }, + "$abc$39259$n995": { + "hide_name": 1, + "bits": [ 3054 ], + "attributes": { + } + }, + "$abc$39259$n996": { + "hide_name": 1, + "bits": [ 3043 ], + "attributes": { + } + }, + "$abc$39259$n997": { + "hide_name": 1, + "bits": [ 3505 ], + "attributes": { + } + }, + "$abc$39259$n998": { + "hide_name": 1, + "bits": [ 2834 ], + "attributes": { + } + }, + "$abc$39259$n999": { + "hide_name": 1, + "bits": [ 2833 ], + "attributes": { + } + }, + "$auto$alumacc.cc:474:replace_alu$7512.C": { + "hide_name": 1, + "bits": [ 12695, 12696, 9896, 12697, 9901, 12698, 9902, 12699, 9903, 12700, 9897, 12701, 9898, 12702, 9899, 12703, 9900, 12704, 12705, 12706 ], + "attributes": { + "src": "saturn_core.v:286|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$auto$alumacc.cc:474:replace_alu$7515.C": { + "hide_name": 1, + "bits": [ 12707, 12708, 9904, 12709, 9905, 12710 ], + "attributes": { + "src": "saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$auto$alumacc.cc:474:replace_alu$7515.FCO": { + "hide_name": 1, + "bits": [ 12711, 12712, 12713, 12714, 9906, 12715 ], + "attributes": { + "src": "saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "unused_bits": "0 1 2 3 4 5" + } + }, + "$auto$alumacc.cc:474:replace_alu$7515.Y1": { + "hide_name": 1, + "bits": [ 12716, 12717, 12718, 12719, 9907, 12720 ], + "attributes": { + "src": "saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "unused_bits": "0 1 2 3 4 5" + } + }, + "$auto$alumacc.cc:474:replace_alu$7518.C": { + "hide_name": 1, + "bits": [ 12721, 12722, 9908, 12723, 9913, 12724, 9914, 12725, 9915, 12726, 9909, 12727, 9910, 12728, 9911, 12729, 9912, 12730, 12731, 12732 ], + "attributes": { + "src": "saturn_core.v:624|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$auto$alumacc.cc:474:replace_alu$7521.C": { + "hide_name": 1, + "bits": [ 12733, 12734, 9916, 12735 ], + "attributes": { + "src": "saturn_core.v:866|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$auto$alumacc.cc:474:replace_alu$7521.FCO": { + "hide_name": 1, + "bits": [ 12736, 12737, 9917, 12738 ], + "attributes": { + "src": "saturn_core.v:866|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "unused_bits": "0 1 2 3" + } + }, + "$auto$alumacc.cc:474:replace_alu$7521.Y1": { + "hide_name": 1, + "bits": [ 12739, 12740, 9918, 12741 ], + "attributes": { + "src": "saturn_core.v:866|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "unused_bits": "0 1 2 3" + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.C": { + "hide_name": 1, + "bits": [ 12742, 12743, 9919, 12744, 9932, 12745, 9935, 12746, 9938, 12747, 9922, 12748, 9923, 12749, 9926, 12750 ], + "attributes": { + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$auto$alumacc.cc:474:replace_alu$7524.FCO": { + "hide_name": 1, + "bits": [ 12751, 12752, 12753, 12754, 12755, 12756, 12757, 12758, 12759, 12760, 12761, 12762, 12763, 12764, 9929, 12765 ], + "attributes": { + "src": "saturn_core.v:981|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "$auto$alumacc.cc:474:replace_alu$7527.C": { + "hide_name": 1, + "bits": [ 12766, 12767, 9943, 12768 ], + "attributes": { + "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$auto$alumacc.cc:474:replace_alu$7527.FCO": { + "hide_name": 1, + "bits": [ 12769, 12770, 9944, 12771 ], + "attributes": { + "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "unused_bits": "0 1 2 3" + } + }, + "$auto$alumacc.cc:474:replace_alu$7527.Y1": { + "hide_name": 1, + "bits": [ 12772, 12773, 9945, 12774 ], + "attributes": { + "src": "saturn_core.v:363|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54", + "unused_bits": "0 1 2 3" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:415:extract_cmp_alu$16302": { + "hide_name": 1, + "bits": [ 9962, 9963, 9996, 9997, 10029, 10030, 10049, 10050, 10051, 10052, 9966, 9967, 9969, 9970, 9972, 9973, 9975, 9976, 9978, 9979, 9981, 9982, 9984, 9985, 9987, 9988, 9990, 9991, 9993, 9994, 9999, 10000, 10002, 10003, 10005, 10006, 10008, 10009, 10011, 10012, 10014, 10015, 10017, 10018, 10020, 10021, 10023, 10024, 10026, 10027, 10032, 10033, 10035, 10036, 10038, 10039, 10041, 10042, 10044, 10045, 10046, 10047, 12775 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13919.$auto$alumacc.cc:474:replace_alu$16303.C": { + "hide_name": 1, + "bits": [ 12776, 12777, 9961, 12778, 9995, 12779, 10028, 12780, 10048, 12781, 9964, 12782, 9965, 12783, 9968, 12784, 9971, 12785, 9974, 12786, 9977, 12787, 9980, 12788, 9983, 12789, 9986, 12790, 9989, 12791, 9992, 12792, 9998, 12793, 10001, 12794, 10004, 12795, 10007, 12796, 10010, 12797, 10013, 12798, 10016, 12799, 10019, 12800, 10022, 12801, 10025, 12802, 10031, 12803, 10034, 12804, 10037, 12805, 10040, 12806, 10043, 12807, 12808, 12809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:415:extract_cmp_alu$16222": { + "hide_name": 1, + "bits": [ 10054, 10055, 10088, 10089, 10121, 10122, 10141, 10142, 10143, 10144, 10058, 10059, 10061, 10062, 10064, 10065, 10067, 10068, 10070, 10071, 10073, 10074, 10076, 10077, 10079, 10080, 10082, 10083, 10085, 10086, 10091, 10092, 10094, 10095, 10097, 10098, 10100, 10101, 10103, 10104, 10106, 10107, 10109, 10110, 10112, 10113, 10115, 10116, 10118, 10119, 10124, 10125, 10127, 10128, 10130, 10131, 10133, 10134, 10136, 10137, 10138, 10139 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13923.$auto$alumacc.cc:474:replace_alu$16223.C": { + "hide_name": 1, + "bits": [ 12810, 12811, 10053, 12812, 10087, 12813, 10120, 12814, 10140, 12815, 10056, 12816, 10057, 12817, 10060, 12818, 10063, 12819, 10066, 12820, 10069, 12821, 10072, 12822, 10075, 12823, 10078, 12824, 10081, 12825, 10084, 12826, 10090, 12827, 10093, 12828, 10096, 12829, 10099, 12830, 10102, 12831, 10105, 12832, 10108, 12833, 10111, 12834, 10114, 12835, 10117, 12836, 10123, 12837, 10126, 12838, 10129, 12839, 10132, 12840, 10135, 12841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:415:extract_cmp_alu$16143": { + "hide_name": 1, + "bits": [ 10146, 10147, 10180, 10181, 10213, 10214, 10230, 10231, 10232, 10233, 10150, 10151, 10153, 10154, 10156, 10157, 10159, 10160, 10162, 10163, 10165, 10166, 10168, 10169, 10171, 10172, 10174, 10175, 10177, 10178, 10183, 10184, 10186, 10187, 10189, 10190, 10192, 10193, 10195, 10196, 10198, 10199, 10201, 10202, 10204, 10205, 10207, 10208, 10210, 10211, 10216, 10217, 10219, 10220, 10222, 10223, 10225, 10226, 10227, 10228, 12842 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13927.$auto$alumacc.cc:474:replace_alu$16144.C": { + "hide_name": 1, + "bits": [ 12843, 12844, 10145, 12845, 10179, 12846, 10212, 12847, 10229, 12848, 10148, 12849, 10149, 12850, 10152, 12851, 10155, 12852, 10158, 12853, 10161, 12854, 10164, 12855, 10167, 12856, 10170, 12857, 10173, 12858, 10176, 12859, 10182, 12860, 10185, 12861, 10188, 12862, 10191, 12863, 10194, 12864, 10197, 12865, 10200, 12866, 10203, 12867, 10206, 12868, 10209, 12869, 10215, 12870, 10218, 12871, 10221, 12872, 10224, 12873, 12874, 12875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:415:extract_cmp_alu$16065": { + "hide_name": 1, + "bits": [ 10235, 10236, 10269, 10270, 10302, 10303, 10319, 10320, 10321, 10322, 10239, 10240, 10242, 10243, 10245, 10246, 10248, 10249, 10251, 10252, 10254, 10255, 10257, 10258, 10260, 10261, 10263, 10264, 10266, 10267, 10272, 10273, 10275, 10276, 10278, 10279, 10281, 10282, 10284, 10285, 10287, 10288, 10290, 10291, 10293, 10294, 10296, 10297, 10299, 10300, 10305, 10306, 10308, 10309, 10311, 10312, 10314, 10315, 10316, 10317 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13931.$auto$alumacc.cc:474:replace_alu$16066.C": { + "hide_name": 1, + "bits": [ 12876, 12877, 10234, 12878, 10268, 12879, 10301, 12880, 10318, 12881, 10237, 12882, 10238, 12883, 10241, 12884, 10244, 12885, 10247, 12886, 10250, 12887, 10253, 12888, 10256, 12889, 10259, 12890, 10262, 12891, 10265, 12892, 10271, 12893, 10274, 12894, 10277, 12895, 10280, 12896, 10283, 12897, 10286, 12898, 10289, 12899, 10292, 12900, 10295, 12901, 10298, 12902, 10304, 12903, 10307, 12904, 10310, 12905, 10313, 12906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:415:extract_cmp_alu$15988": { + "hide_name": 1, + "bits": [ 10324, 10325, 10358, 10359, 10391, 10392, 10405, 10406, 10407, 10408, 10328, 10329, 10331, 10332, 10334, 10335, 10337, 10338, 10340, 10341, 10343, 10344, 10346, 10347, 10349, 10350, 10352, 10353, 10355, 10356, 10361, 10362, 10364, 10365, 10367, 10368, 10370, 10371, 10373, 10374, 10376, 10377, 10379, 10380, 10382, 10383, 10385, 10386, 10388, 10389, 10394, 10395, 10397, 10398, 10400, 10401, 10402, 10403, 12907 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13935.$auto$alumacc.cc:474:replace_alu$15989.C": { + "hide_name": 1, + "bits": [ 12908, 12909, 10323, 12910, 10357, 12911, 10390, 12912, 10404, 12913, 10326, 12914, 10327, 12915, 10330, 12916, 10333, 12917, 10336, 12918, 10339, 12919, 10342, 12920, 10345, 12921, 10348, 12922, 10351, 12923, 10354, 12924, 10360, 12925, 10363, 12926, 10366, 12927, 10369, 12928, 10372, 12929, 10375, 12930, 10378, 12931, 10381, 12932, 10384, 12933, 10387, 12934, 10393, 12935, 10396, 12936, 10399, 12937, 12938, 12939 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:415:extract_cmp_alu$15912": { + "hide_name": 1, + "bits": [ 10410, 10411, 10444, 10445, 10477, 10478, 10491, 10492, 10493, 10494, 10414, 10415, 10417, 10418, 10420, 10421, 10423, 10424, 10426, 10427, 10429, 10430, 10432, 10433, 10435, 10436, 10438, 10439, 10441, 10442, 10447, 10448, 10450, 10451, 10453, 10454, 10456, 10457, 10459, 10460, 10462, 10463, 10465, 10466, 10468, 10469, 10471, 10472, 10474, 10475, 10480, 10481, 10483, 10484, 10486, 10487, 10488, 10489 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13939.$auto$alumacc.cc:474:replace_alu$15913.C": { + "hide_name": 1, + "bits": [ 12940, 12941, 10409, 12942, 10443, 12943, 10476, 12944, 10490, 12945, 10412, 12946, 10413, 12947, 10416, 12948, 10419, 12949, 10422, 12950, 10425, 12951, 10428, 12952, 10431, 12953, 10434, 12954, 10437, 12955, 10440, 12956, 10446, 12957, 10449, 12958, 10452, 12959, 10455, 12960, 10458, 12961, 10461, 12962, 10464, 12963, 10467, 12964, 10470, 12965, 10473, 12966, 10479, 12967, 10482, 12968, 10485, 12969 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:415:extract_cmp_alu$15837": { + "hide_name": 1, + "bits": [ 10496, 10497, 10530, 10531, 10563, 10564, 10574, 10575, 10576, 10577, 10500, 10501, 10503, 10504, 10506, 10507, 10509, 10510, 10512, 10513, 10515, 10516, 10518, 10519, 10521, 10522, 10524, 10525, 10527, 10528, 10533, 10534, 10536, 10537, 10539, 10540, 10542, 10543, 10545, 10546, 10548, 10549, 10551, 10552, 10554, 10555, 10557, 10558, 10560, 10561, 10566, 10567, 10569, 10570, 10571, 10572, 12970 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13943.$auto$alumacc.cc:474:replace_alu$15838.C": { + "hide_name": 1, + "bits": [ 12971, 12972, 10495, 12973, 10529, 12974, 10562, 12975, 10573, 12976, 10498, 12977, 10499, 12978, 10502, 12979, 10505, 12980, 10508, 12981, 10511, 12982, 10514, 12983, 10517, 12984, 10520, 12985, 10523, 12986, 10526, 12987, 10532, 12988, 10535, 12989, 10538, 12990, 10541, 12991, 10544, 12992, 10547, 12993, 10550, 12994, 10553, 12995, 10556, 12996, 10559, 12997, 10565, 12998, 10568, 12999, 13000, 13001 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:415:extract_cmp_alu$15763": { + "hide_name": 1, + "bits": [ 10579, 10580, 10613, 10614, 10646, 10647, 10657, 10658, 10659, 10660, 10583, 10584, 10586, 10587, 10589, 10590, 10592, 10593, 10595, 10596, 10598, 10599, 10601, 10602, 10604, 10605, 10607, 10608, 10610, 10611, 10616, 10617, 10619, 10620, 10622, 10623, 10625, 10626, 10628, 10629, 10631, 10632, 10634, 10635, 10637, 10638, 10640, 10641, 10643, 10644, 10649, 10650, 10652, 10653, 10654, 10655 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13947.$auto$alumacc.cc:474:replace_alu$15764.C": { + "hide_name": 1, + "bits": [ 13002, 13003, 10578, 13004, 10612, 13005, 10645, 13006, 10656, 13007, 10581, 13008, 10582, 13009, 10585, 13010, 10588, 13011, 10591, 13012, 10594, 13013, 10597, 13014, 10600, 13015, 10603, 13016, 10606, 13017, 10609, 13018, 10615, 13019, 10618, 13020, 10621, 13021, 10624, 13022, 10627, 13023, 10630, 13024, 10633, 13025, 10636, 13026, 10639, 13027, 10642, 13028, 10648, 13029, 10651, 13030 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:415:extract_cmp_alu$15690": { + "hide_name": 1, + "bits": [ 10662, 10663, 10696, 10697, 10729, 10730, 10737, 10738, 10739, 10740, 10666, 10667, 10669, 10670, 10672, 10673, 10675, 10676, 10678, 10679, 10681, 10682, 10684, 10685, 10687, 10688, 10690, 10691, 10693, 10694, 10699, 10700, 10702, 10703, 10705, 10706, 10708, 10709, 10711, 10712, 10714, 10715, 10717, 10718, 10720, 10721, 10723, 10724, 10726, 10727, 10732, 10733, 10734, 10735, 13031 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13951.$auto$alumacc.cc:474:replace_alu$15691.C": { + "hide_name": 1, + "bits": [ 13032, 13033, 10661, 13034, 10695, 13035, 10728, 13036, 10736, 13037, 10664, 13038, 10665, 13039, 10668, 13040, 10671, 13041, 10674, 13042, 10677, 13043, 10680, 13044, 10683, 13045, 10686, 13046, 10689, 13047, 10692, 13048, 10698, 13049, 10701, 13050, 10704, 13051, 10707, 13052, 10710, 13053, 10713, 13054, 10716, 13055, 10719, 13056, 10722, 13057, 10725, 13058, 10731, 13059, 13060, 13061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:415:extract_cmp_alu$15618": { + "hide_name": 1, + "bits": [ 10742, 10743, 10776, 10777, 10809, 10810, 10817, 10818, 10819, 10820, 10746, 10747, 10749, 10750, 10752, 10753, 10755, 10756, 10758, 10759, 10761, 10762, 10764, 10765, 10767, 10768, 10770, 10771, 10773, 10774, 10779, 10780, 10782, 10783, 10785, 10786, 10788, 10789, 10791, 10792, 10794, 10795, 10797, 10798, 10800, 10801, 10803, 10804, 10806, 10807, 10812, 10813, 10814, 10815 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13955.$auto$alumacc.cc:474:replace_alu$15619.C": { + "hide_name": 1, + "bits": [ 13062, 13063, 10741, 13064, 10775, 13065, 10808, 13066, 10816, 13067, 10744, 13068, 10745, 13069, 10748, 13070, 10751, 13071, 10754, 13072, 10757, 13073, 10760, 13074, 10763, 13075, 10766, 13076, 10769, 13077, 10772, 13078, 10778, 13079, 10781, 13080, 10784, 13081, 10787, 13082, 10790, 13083, 10793, 13084, 10796, 13085, 10799, 13086, 10802, 13087, 10805, 13088, 10811, 13089 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:415:extract_cmp_alu$15547": { + "hide_name": 1, + "bits": [ 10822, 10823, 10856, 10857, 10889, 10890, 10894, 10895, 10896, 10897, 10826, 10827, 10829, 10830, 10832, 10833, 10835, 10836, 10838, 10839, 10841, 10842, 10844, 10845, 10847, 10848, 10850, 10851, 10853, 10854, 10859, 10860, 10862, 10863, 10865, 10866, 10868, 10869, 10871, 10872, 10874, 10875, 10877, 10878, 10880, 10881, 10883, 10884, 10886, 10887, 10891, 10892, 13090 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13959.$auto$alumacc.cc:474:replace_alu$15548.C": { + "hide_name": 1, + "bits": [ 13091, 13092, 10821, 13093, 10855, 13094, 10888, 13095, 10893, 13096, 10824, 13097, 10825, 13098, 10828, 13099, 10831, 13100, 10834, 13101, 10837, 13102, 10840, 13103, 10843, 13104, 10846, 13105, 10849, 13106, 10852, 13107, 10858, 13108, 10861, 13109, 10864, 13110, 10867, 13111, 10870, 13112, 10873, 13113, 10876, 13114, 10879, 13115, 10882, 13116, 10885, 13117, 13118, 13119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:415:extract_cmp_alu$15477": { + "hide_name": 1, + "bits": [ 10899, 10900, 10933, 10934, 10966, 10967, 10971, 10972, 10973, 10974, 10903, 10904, 10906, 10907, 10909, 10910, 10912, 10913, 10915, 10916, 10918, 10919, 10921, 10922, 10924, 10925, 10927, 10928, 10930, 10931, 10936, 10937, 10939, 10940, 10942, 10943, 10945, 10946, 10948, 10949, 10951, 10952, 10954, 10955, 10957, 10958, 10960, 10961, 10963, 10964, 10968, 10969 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13963.$auto$alumacc.cc:474:replace_alu$15478.C": { + "hide_name": 1, + "bits": [ 13120, 13121, 10898, 13122, 10932, 13123, 10965, 13124, 10970, 13125, 10901, 13126, 10902, 13127, 10905, 13128, 10908, 13129, 10911, 13130, 10914, 13131, 10917, 13132, 10920, 13133, 10923, 13134, 10926, 13135, 10929, 13136, 10935, 13137, 10938, 13138, 10941, 13139, 10944, 13140, 10947, 13141, 10950, 13142, 10953, 13143, 10956, 13144, 10959, 13145, 10962, 13146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:415:extract_cmp_alu$15408": { + "hide_name": 1, + "bits": [ 10976, 10977, 11010, 11011, 11042, 11043, 11045, 11046, 11047, 11048, 10980, 10981, 10983, 10984, 10986, 10987, 10989, 10990, 10992, 10993, 10995, 10996, 10998, 10999, 11001, 11002, 11004, 11005, 11007, 11008, 11013, 11014, 11016, 11017, 11019, 11020, 11022, 11023, 11025, 11026, 11028, 11029, 11031, 11032, 11034, 11035, 11037, 11038, 11039, 11040, 13147 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13967.$auto$alumacc.cc:474:replace_alu$15409.C": { + "hide_name": 1, + "bits": [ 13148, 13149, 10975, 13150, 11009, 13151, 11041, 13152, 11044, 13153, 10978, 13154, 10979, 13155, 10982, 13156, 10985, 13157, 10988, 13158, 10991, 13159, 10994, 13160, 10997, 13161, 11000, 13162, 11003, 13163, 11006, 13164, 11012, 13165, 11015, 13166, 11018, 13167, 11021, 13168, 11024, 13169, 11027, 13170, 11030, 13171, 11033, 13172, 11036, 13173, 13174, 13175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:415:extract_cmp_alu$15340": { + "hide_name": 1, + "bits": [ 11050, 11051, 11084, 11085, 11116, 11117, 11119, 11120, 11121, 11122, 11054, 11055, 11057, 11058, 11060, 11061, 11063, 11064, 11066, 11067, 11069, 11070, 11072, 11073, 11075, 11076, 11078, 11079, 11081, 11082, 11087, 11088, 11090, 11091, 11093, 11094, 11096, 11097, 11099, 11100, 11102, 11103, 11105, 11106, 11108, 11109, 11111, 11112, 11113, 11114 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13971.$auto$alumacc.cc:474:replace_alu$15341.C": { + "hide_name": 1, + "bits": [ 13176, 13177, 11049, 13178, 11083, 13179, 11115, 13180, 11118, 13181, 11052, 13182, 11053, 13183, 11056, 13184, 11059, 13185, 11062, 13186, 11065, 13187, 11068, 13188, 11071, 13189, 11074, 13190, 11077, 13191, 11080, 13192, 11086, 13193, 11089, 13194, 11092, 13195, 11095, 13196, 11098, 13197, 11101, 13198, 11104, 13199, 11107, 13200, 11110, 13201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:415:extract_cmp_alu$15273": { + "hide_name": 1, + "bits": [ 11124, 11125, 11158, 11159, 11187, 11188, 11190, 11191, 11192, 11193, 11128, 11129, 11131, 11132, 11134, 11135, 11137, 11138, 11140, 11141, 11143, 11144, 11146, 11147, 11149, 11150, 11152, 11153, 11155, 11156, 11161, 11162, 11164, 11165, 11167, 11168, 11170, 11171, 11173, 11174, 11176, 11177, 11179, 11180, 11182, 11183, 11184, 11185, 13202 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13975.$auto$alumacc.cc:474:replace_alu$15274.C": { + "hide_name": 1, + "bits": [ 13203, 13204, 11123, 13205, 11157, 13206, 11186, 13207, 11189, 13208, 11126, 13209, 11127, 13210, 11130, 13211, 11133, 13212, 11136, 13213, 11139, 13214, 11142, 13215, 11145, 13216, 11148, 13217, 11151, 13218, 11154, 13219, 11160, 13220, 11163, 13221, 11166, 13222, 11169, 13223, 11172, 13224, 11175, 13225, 11178, 13226, 11181, 13227, 13228, 13229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:415:extract_cmp_alu$15207": { + "hide_name": 1, + "bits": [ 11195, 11196, 11229, 11230, 11258, 11259, 11261, 11262, 11263, 11264, 11199, 11200, 11202, 11203, 11205, 11206, 11208, 11209, 11211, 11212, 11214, 11215, 11217, 11218, 11220, 11221, 11223, 11224, 11226, 11227, 11232, 11233, 11235, 11236, 11238, 11239, 11241, 11242, 11244, 11245, 11247, 11248, 11250, 11251, 11253, 11254, 11255, 11256 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13979.$auto$alumacc.cc:474:replace_alu$15208.C": { + "hide_name": 1, + "bits": [ 13230, 13231, 11194, 13232, 11228, 13233, 11257, 13234, 11260, 13235, 11197, 13236, 11198, 13237, 11201, 13238, 11204, 13239, 11207, 13240, 11210, 13241, 11213, 13242, 11216, 13243, 11219, 13244, 11222, 13245, 11225, 13246, 11231, 13247, 11234, 13248, 11237, 13249, 11240, 13250, 11243, 13251, 11246, 13252, 11249, 13253, 11252, 13254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:415:extract_cmp_alu$15142": { + "hide_name": 1, + "bits": [ 11266, 11267, 11300, 11301, 11326, 11327, 11329, 11330, 11331, 11332, 11270, 11271, 11273, 11274, 11276, 11277, 11279, 11280, 11282, 11283, 11285, 11286, 11288, 11289, 11291, 11292, 11294, 11295, 11297, 11298, 11303, 11304, 11306, 11307, 11309, 11310, 11312, 11313, 11315, 11316, 11318, 11319, 11321, 11322, 11323, 11324, 13255 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13983.$auto$alumacc.cc:474:replace_alu$15143.C": { + "hide_name": 1, + "bits": [ 13256, 13257, 11265, 13258, 11299, 13259, 11325, 13260, 11328, 13261, 11268, 13262, 11269, 13263, 11272, 13264, 11275, 13265, 11278, 13266, 11281, 13267, 11284, 13268, 11287, 13269, 11290, 13270, 11293, 13271, 11296, 13272, 11302, 13273, 11305, 13274, 11308, 13275, 11311, 13276, 11314, 13277, 11317, 13278, 11320, 13279, 13280, 13281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:415:extract_cmp_alu$15078": { + "hide_name": 1, + "bits": [ 11334, 11335, 11368, 11369, 11394, 11395, 11397, 11398, 11399, 11400, 11338, 11339, 11341, 11342, 11344, 11345, 11347, 11348, 11350, 11351, 11353, 11354, 11356, 11357, 11359, 11360, 11362, 11363, 11365, 11366, 11371, 11372, 11374, 11375, 11377, 11378, 11380, 11381, 11383, 11384, 11386, 11387, 11389, 11390, 11391, 11392 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13987.$auto$alumacc.cc:474:replace_alu$15079.C": { + "hide_name": 1, + "bits": [ 13282, 13283, 11333, 13284, 11367, 13285, 11393, 13286, 11396, 13287, 11336, 13288, 11337, 13289, 11340, 13290, 11343, 13291, 11346, 13292, 11349, 13293, 11352, 13294, 11355, 13295, 11358, 13296, 11361, 13297, 11364, 13298, 11370, 13299, 11373, 13300, 11376, 13301, 11379, 13302, 11382, 13303, 11385, 13304, 11388, 13305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:415:extract_cmp_alu$15015": { + "hide_name": 1, + "bits": [ 11402, 11403, 11436, 11437, 11459, 11460, 11462, 11463, 11464, 11465, 11406, 11407, 11409, 11410, 11412, 11413, 11415, 11416, 11418, 11419, 11421, 11422, 11424, 11425, 11427, 11428, 11430, 11431, 11433, 11434, 11439, 11440, 11442, 11443, 11445, 11446, 11448, 11449, 11451, 11452, 11454, 11455, 11456, 11457, 13306 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13991.$auto$alumacc.cc:474:replace_alu$15016.C": { + "hide_name": 1, + "bits": [ 13307, 13308, 11401, 13309, 11435, 13310, 11458, 13311, 11461, 13312, 11404, 13313, 11405, 13314, 11408, 13315, 11411, 13316, 11414, 13317, 11417, 13318, 11420, 13319, 11423, 13320, 11426, 13321, 11429, 13322, 11432, 13323, 11438, 13324, 11441, 13325, 11444, 13326, 11447, 13327, 11450, 13328, 11453, 13329, 13330, 13331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:415:extract_cmp_alu$14953": { + "hide_name": 1, + "bits": [ 11467, 11468, 11501, 11502, 11524, 11525, 11527, 11528, 11529, 11530, 11471, 11472, 11474, 11475, 11477, 11478, 11480, 11481, 11483, 11484, 11486, 11487, 11489, 11490, 11492, 11493, 11495, 11496, 11498, 11499, 11504, 11505, 11507, 11508, 11510, 11511, 11513, 11514, 11516, 11517, 11519, 11520, 11521, 11522 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13995.$auto$alumacc.cc:474:replace_alu$14954.C": { + "hide_name": 1, + "bits": [ 13332, 13333, 11466, 13334, 11500, 13335, 11523, 13336, 11526, 13337, 11469, 13338, 11470, 13339, 11473, 13340, 11476, 13341, 11479, 13342, 11482, 13343, 11485, 13344, 11488, 13345, 11491, 13346, 11494, 13347, 11497, 13348, 11503, 13349, 11506, 13350, 11509, 13351, 11512, 13352, 11515, 13353, 11518, 13354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:415:extract_cmp_alu$14892": { + "hide_name": 1, + "bits": [ 11532, 11533, 11566, 11567, 11586, 11587, 11589, 11590, 11591, 11592, 11536, 11537, 11539, 11540, 11542, 11543, 11545, 11546, 11548, 11549, 11551, 11552, 11554, 11555, 11557, 11558, 11560, 11561, 11563, 11564, 11569, 11570, 11572, 11573, 11575, 11576, 11578, 11579, 11581, 11582, 11583, 11584, 13355 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$13999.$auto$alumacc.cc:474:replace_alu$14893.C": { + "hide_name": 1, + "bits": [ 13356, 13357, 11531, 13358, 11565, 13359, 11585, 13360, 11588, 13361, 11534, 13362, 11535, 13363, 11538, 13364, 11541, 13365, 11544, 13366, 11547, 13367, 11550, 13368, 11553, 13369, 11556, 13370, 11559, 13371, 11562, 13372, 11568, 13373, 11571, 13374, 11574, 13375, 11577, 13376, 11580, 13377, 13378, 13379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:415:extract_cmp_alu$14832": { + "hide_name": 1, + "bits": [ 11594, 11595, 11628, 11629, 11648, 11649, 11651, 11652, 11653, 11654, 11598, 11599, 11601, 11602, 11604, 11605, 11607, 11608, 11610, 11611, 11613, 11614, 11616, 11617, 11619, 11620, 11622, 11623, 11625, 11626, 11631, 11632, 11634, 11635, 11637, 11638, 11640, 11641, 11643, 11644, 11645, 11646 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14003.$auto$alumacc.cc:474:replace_alu$14833.C": { + "hide_name": 1, + "bits": [ 13380, 13381, 11593, 13382, 11627, 13383, 11647, 13384, 11650, 13385, 11596, 13386, 11597, 13387, 11600, 13388, 11603, 13389, 11606, 13390, 11609, 13391, 11612, 13392, 11615, 13393, 11618, 13394, 11621, 13395, 11624, 13396, 11630, 13397, 11633, 13398, 11636, 13399, 11639, 13400, 11642, 13401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:415:extract_cmp_alu$14773": { + "hide_name": 1, + "bits": [ 11656, 11657, 11690, 11691, 11707, 11708, 11710, 11711, 11712, 11713, 11660, 11661, 11663, 11664, 11666, 11667, 11669, 11670, 11672, 11673, 11675, 11676, 11678, 11679, 11681, 11682, 11684, 11685, 11687, 11688, 11693, 11694, 11696, 11697, 11699, 11700, 11702, 11703, 11704, 11705, 13402 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14007.$auto$alumacc.cc:474:replace_alu$14774.C": { + "hide_name": 1, + "bits": [ 13403, 13404, 11655, 13405, 11689, 13406, 11706, 13407, 11709, 13408, 11658, 13409, 11659, 13410, 11662, 13411, 11665, 13412, 11668, 13413, 11671, 13414, 11674, 13415, 11677, 13416, 11680, 13417, 11683, 13418, 11686, 13419, 11692, 13420, 11695, 13421, 11698, 13422, 11701, 13423, 13424, 13425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:415:extract_cmp_alu$14715": { + "hide_name": 1, + "bits": [ 11715, 11716, 11749, 11750, 11766, 11767, 11769, 11770, 11771, 11772, 11719, 11720, 11722, 11723, 11725, 11726, 11728, 11729, 11731, 11732, 11734, 11735, 11737, 11738, 11740, 11741, 11743, 11744, 11746, 11747, 11752, 11753, 11755, 11756, 11758, 11759, 11761, 11762, 11763, 11764 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14011.$auto$alumacc.cc:474:replace_alu$14716.C": { + "hide_name": 1, + "bits": [ 13426, 13427, 11714, 13428, 11748, 13429, 11765, 13430, 11768, 13431, 11717, 13432, 11718, 13433, 11721, 13434, 11724, 13435, 11727, 13436, 11730, 13437, 11733, 13438, 11736, 13439, 11739, 13440, 11742, 13441, 11745, 13442, 11751, 13443, 11754, 13444, 11757, 13445, 11760, 13446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:415:extract_cmp_alu$14658": { + "hide_name": 1, + "bits": [ 11774, 11775, 11808, 11809, 11822, 11823, 11825, 11826, 11827, 11828, 11778, 11779, 11781, 11782, 11784, 11785, 11787, 11788, 11790, 11791, 11793, 11794, 11796, 11797, 11799, 11800, 11802, 11803, 11805, 11806, 11811, 11812, 11814, 11815, 11817, 11818, 11819, 11820, 13447 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14015.$auto$alumacc.cc:474:replace_alu$14659.C": { + "hide_name": 1, + "bits": [ 13448, 13449, 11773, 13450, 11807, 13451, 11821, 13452, 11824, 13453, 11776, 13454, 11777, 13455, 11780, 13456, 11783, 13457, 11786, 13458, 11789, 13459, 11792, 13460, 11795, 13461, 11798, 13462, 11801, 13463, 11804, 13464, 11810, 13465, 11813, 13466, 11816, 13467, 13468, 13469 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:415:extract_cmp_alu$14602": { + "hide_name": 1, + "bits": [ 11830, 11831, 11864, 11865, 11878, 11879, 11881, 11882, 11883, 11884, 11834, 11835, 11837, 11838, 11840, 11841, 11843, 11844, 11846, 11847, 11849, 11850, 11852, 11853, 11855, 11856, 11858, 11859, 11861, 11862, 11867, 11868, 11870, 11871, 11873, 11874, 11875, 11876 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14019.$auto$alumacc.cc:474:replace_alu$14603.C": { + "hide_name": 1, + "bits": [ 13470, 13471, 11829, 13472, 11863, 13473, 11877, 13474, 11880, 13475, 11832, 13476, 11833, 13477, 11836, 13478, 11839, 13479, 11842, 13480, 11845, 13481, 11848, 13482, 11851, 13483, 11854, 13484, 11857, 13485, 11860, 13486, 11866, 13487, 11869, 13488, 11872, 13489 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:415:extract_cmp_alu$14547": { + "hide_name": 1, + "bits": [ 11886, 11887, 11920, 11921, 11931, 11932, 11934, 11935, 11936, 11937, 11890, 11891, 11893, 11894, 11896, 11897, 11899, 11900, 11902, 11903, 11905, 11906, 11908, 11909, 11911, 11912, 11914, 11915, 11917, 11918, 11923, 11924, 11926, 11927, 11928, 11929, 13490 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14023.$auto$alumacc.cc:474:replace_alu$14548.C": { + "hide_name": 1, + "bits": [ 13491, 13492, 11885, 13493, 11919, 13494, 11930, 13495, 11933, 13496, 11888, 13497, 11889, 13498, 11892, 13499, 11895, 13500, 11898, 13501, 11901, 13502, 11904, 13503, 11907, 13504, 11910, 13505, 11913, 13506, 11916, 13507, 11922, 13508, 11925, 13509, 13510, 13511 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:415:extract_cmp_alu$14493": { + "hide_name": 1, + "bits": [ 11939, 11940, 11973, 11974, 11984, 11985, 11987, 11988, 11989, 11990, 11943, 11944, 11946, 11947, 11949, 11950, 11952, 11953, 11955, 11956, 11958, 11959, 11961, 11962, 11964, 11965, 11967, 11968, 11970, 11971, 11976, 11977, 11979, 11980, 11981, 11982 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14027.$auto$alumacc.cc:474:replace_alu$14494.C": { + "hide_name": 1, + "bits": [ 13512, 13513, 11938, 13514, 11972, 13515, 11983, 13516, 11986, 13517, 11941, 13518, 11942, 13519, 11945, 13520, 11948, 13521, 11951, 13522, 11954, 13523, 11957, 13524, 11960, 13525, 11963, 13526, 11966, 13527, 11969, 13528, 11975, 13529, 11978, 13530 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:415:extract_cmp_alu$14440": { + "hide_name": 1, + "bits": [ 11992, 11993, 12026, 12027, 12034, 12035, 12037, 12038, 12039, 12040, 11996, 11997, 11999, 12000, 12002, 12003, 12005, 12006, 12008, 12009, 12011, 12012, 12014, 12015, 12017, 12018, 12020, 12021, 12023, 12024, 12029, 12030, 12031, 12032, 13531 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14031.$auto$alumacc.cc:474:replace_alu$14441.C": { + "hide_name": 1, + "bits": [ 13532, 13533, 11991, 13534, 12025, 13535, 12033, 13536, 12036, 13537, 11994, 13538, 11995, 13539, 11998, 13540, 12001, 13541, 12004, 13542, 12007, 13543, 12010, 13544, 12013, 13545, 12016, 13546, 12019, 13547, 12022, 13548, 12028, 13549, 13550, 13551 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:415:extract_cmp_alu$14388": { + "hide_name": 1, + "bits": [ 12042, 12043, 12076, 12077, 12084, 12085, 12087, 12088, 12089, 12090, 12046, 12047, 12049, 12050, 12052, 12053, 12055, 12056, 12058, 12059, 12061, 12062, 12064, 12065, 12067, 12068, 12070, 12071, 12073, 12074, 12079, 12080, 12081, 12082 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14035.$auto$alumacc.cc:474:replace_alu$14389.C": { + "hide_name": 1, + "bits": [ 13552, 13553, 12041, 13554, 12075, 13555, 12083, 13556, 12086, 13557, 12044, 13558, 12045, 13559, 12048, 13560, 12051, 13561, 12054, 13562, 12057, 13563, 12060, 13564, 12063, 13565, 12066, 13566, 12069, 13567, 12072, 13568, 12078, 13569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:415:extract_cmp_alu$14337": { + "hide_name": 1, + "bits": [ 12092, 12093, 12126, 12127, 12131, 12132, 12134, 12135, 12136, 12137, 12096, 12097, 12099, 12100, 12102, 12103, 12105, 12106, 12108, 12109, 12111, 12112, 12114, 12115, 12117, 12118, 12120, 12121, 12123, 12124, 12128, 12129, 13570 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14039.$auto$alumacc.cc:474:replace_alu$14338.C": { + "hide_name": 1, + "bits": [ 13571, 13572, 12091, 13573, 12125, 13574, 12130, 13575, 12133, 13576, 12094, 13577, 12095, 13578, 12098, 13579, 12101, 13580, 12104, 13581, 12107, 13582, 12110, 13583, 12113, 13584, 12116, 13585, 12119, 13586, 12122, 13587, 13588, 13589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:415:extract_cmp_alu$14287": { + "hide_name": 1, + "bits": [ 12139, 12140, 12173, 12174, 12178, 12179, 12181, 12182, 12183, 12184, 12143, 12144, 12146, 12147, 12149, 12150, 12152, 12153, 12155, 12156, 12158, 12159, 12161, 12162, 12164, 12165, 12167, 12168, 12170, 12171, 12175, 12176 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$ge$/usr/local/bin/../share/yosys/techmap.v:301$14043.$auto$alumacc.cc:474:replace_alu$14288.C": { + "hide_name": 1, + "bits": [ 13590, 13591, 12138, 13592, 12172, 13593, 12177, 13594, 12180, 13595, 12141, 13596, 12142, 13597, 12145, 13598, 12148, 13599, 12151, 13600, 12154, 13601, 12157, 13602, 12160, 13603, 12163, 13604, 12166, 13605, 12169, 13606 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:301|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13920.$auto$alumacc.cc:474:replace_alu$16299.C": { + "hide_name": 1, + "bits": [ 13607, 13608, 12185, 13609, 12197, 13610, 12199, 13611, 12200, 13612, 12186, 13613, 12187, 13614, 12188, 13615, 12189, 13616, 12190, 13617, 12191, 13618, 12192, 13619, 12193, 13620, 12194, 13621, 12195, 13622, 12196, 13623, 12198, 13624, 13625, 13626, 13627, 13628, 13629, 13630, 13631, 13632, 13633, 13634, 13635, 13636, 13637, 13638, 13639, 13640, 13641, 13642, 13643, 13644, 13645, 13646, 13647, 13648, 13649, 13650, 13651, 13652, 13653, 13654 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13924.$auto$alumacc.cc:474:replace_alu$16219.C": { + "hide_name": 1, + "bits": [ 13655, 13656, 12201, 13657, 12213, 13658, 12215, 13659, 12216, 13660, 12202, 13661, 12203, 13662, 12204, 13663, 12205, 13664, 12206, 13665, 12207, 13666, 12208, 13667, 12209, 13668, 12210, 13669, 12211, 13670, 12212, 13671, 12214, 13672, 13673, 13674, 13675, 13676, 13677, 13678, 13679, 13680, 13681, 13682, 13683, 13684, 13685, 13686, 13687, 13688, 13689, 13690, 13691, 13692, 13693, 13694, 13695, 13696, 13697, 13698, 13699, 13700 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13928.$auto$alumacc.cc:474:replace_alu$16140.C": { + "hide_name": 1, + "bits": [ 13701, 13702, 12217, 13703, 12229, 13704, 12231, 13705, 12232, 13706, 12218, 13707, 12219, 13708, 12220, 13709, 12221, 13710, 12222, 13711, 12223, 13712, 12224, 13713, 12225, 13714, 12226, 13715, 12227, 13716, 12228, 13717, 12230, 13718, 13719, 13720, 13721, 13722, 13723, 13724, 13725, 13726, 13727, 13728, 13729, 13730, 13731, 13732, 13733, 13734, 13735, 13736, 13737, 13738, 13739, 13740, 13741, 13742, 13743, 13744, 13745, 13746 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13932.$auto$alumacc.cc:474:replace_alu$16062.C": { + "hide_name": 1, + "bits": [ 13747, 13748, 12233, 13749, 12245, 13750, 12247, 13751, 12248, 13752, 12234, 13753, 12235, 13754, 12236, 13755, 12237, 13756, 12238, 13757, 12239, 13758, 12240, 13759, 12241, 13760, 12242, 13761, 12243, 13762, 12244, 13763, 12246, 13764, 13765, 13766, 13767, 13768, 13769, 13770, 13771, 13772, 13773, 13774, 13775, 13776, 13777, 13778, 13779, 13780, 13781, 13782, 13783, 13784, 13785, 13786, 13787, 13788, 13789, 13790 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13936.$auto$alumacc.cc:474:replace_alu$15985.C": { + "hide_name": 1, + "bits": [ 13791, 13792, 12249, 13793, 12261, 13794, 12263, 13795, 12264, 13796, 12250, 13797, 12251, 13798, 12252, 13799, 12253, 13800, 12254, 13801, 12255, 13802, 12256, 13803, 12257, 13804, 12258, 13805, 12259, 13806, 12260, 13807, 12262, 13808, 13809, 13810, 13811, 13812, 13813, 13814, 13815, 13816, 13817, 13818, 13819, 13820, 13821, 13822, 13823, 13824, 13825, 13826, 13827, 13828, 13829, 13830, 13831, 13832, 13833, 13834 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13940.$auto$alumacc.cc:474:replace_alu$15909.C": { + "hide_name": 1, + "bits": [ 13835, 13836, 12265, 13837, 12277, 13838, 12279, 13839, 12280, 13840, 12266, 13841, 12267, 13842, 12268, 13843, 12269, 13844, 12270, 13845, 12271, 13846, 12272, 13847, 12273, 13848, 12274, 13849, 12275, 13850, 12276, 13851, 12278, 13852, 13853, 13854, 13855, 13856, 13857, 13858, 13859, 13860, 13861, 13862, 13863, 13864, 13865, 13866, 13867, 13868, 13869, 13870, 13871, 13872, 13873, 13874, 13875, 13876 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13944.$auto$alumacc.cc:474:replace_alu$15834.C": { + "hide_name": 1, + "bits": [ 13877, 13878, 12281, 13879, 12293, 13880, 12295, 13881, 12296, 13882, 12282, 13883, 12283, 13884, 12284, 13885, 12285, 13886, 12286, 13887, 12287, 13888, 12288, 13889, 12289, 13890, 12290, 13891, 12291, 13892, 12292, 13893, 12294, 13894, 13895, 13896, 13897, 13898, 13899, 13900, 13901, 13902, 13903, 13904, 13905, 13906, 13907, 13908, 13909, 13910, 13911, 13912, 13913, 13914, 13915, 13916, 13917, 13918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13948.$auto$alumacc.cc:474:replace_alu$15760.C": { + "hide_name": 1, + "bits": [ 13919, 13920, 12297, 13921, 12309, 13922, 12311, 13923, 12312, 13924, 12298, 13925, 12299, 13926, 12300, 13927, 12301, 13928, 12302, 13929, 12303, 13930, 12304, 13931, 12305, 13932, 12306, 13933, 12307, 13934, 12308, 13935, 12310, 13936, 13937, 13938, 13939, 13940, 13941, 13942, 13943, 13944, 13945, 13946, 13947, 13948, 13949, 13950, 13951, 13952, 13953, 13954, 13955, 13956, 13957, 13958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13952.$auto$alumacc.cc:474:replace_alu$15687.C": { + "hide_name": 1, + "bits": [ 13959, 13960, 12313, 13961, 12325, 13962, 12327, 13963, 12328, 13964, 12314, 13965, 12315, 13966, 12316, 13967, 12317, 13968, 12318, 13969, 12319, 13970, 12320, 13971, 12321, 13972, 12322, 13973, 12323, 13974, 12324, 13975, 12326, 13976, 13977, 13978, 13979, 13980, 13981, 13982, 13983, 13984, 13985, 13986, 13987, 13988, 13989, 13990, 13991, 13992, 13993, 13994, 13995, 13996, 13997, 13998 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13956.$auto$alumacc.cc:474:replace_alu$15615.C": { + "hide_name": 1, + "bits": [ 13999, 14000, 12329, 14001, 12341, 14002, 12343, 14003, 12344, 14004, 12330, 14005, 12331, 14006, 12332, 14007, 12333, 14008, 12334, 14009, 12335, 14010, 12336, 14011, 12337, 14012, 12338, 14013, 12339, 14014, 12340, 14015, 12342, 14016, 14017, 14018, 14019, 14020, 14021, 14022, 14023, 14024, 14025, 14026, 14027, 14028, 14029, 14030, 14031, 14032, 14033, 14034, 14035, 14036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13960.$auto$alumacc.cc:474:replace_alu$15544.C": { + "hide_name": 1, + "bits": [ 14037, 14038, 12345, 14039, 12357, 14040, 12359, 14041, 12360, 14042, 12346, 14043, 12347, 14044, 12348, 14045, 12349, 14046, 12350, 14047, 12351, 14048, 12352, 14049, 12353, 14050, 12354, 14051, 12355, 14052, 12356, 14053, 12358, 14054, 14055, 14056, 14057, 14058, 14059, 14060, 14061, 14062, 14063, 14064, 14065, 14066, 14067, 14068, 14069, 14070, 14071, 14072, 14073, 14074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13964.$auto$alumacc.cc:474:replace_alu$15474.C": { + "hide_name": 1, + "bits": [ 14075, 14076, 12361, 14077, 12373, 14078, 12375, 14079, 12376, 14080, 12362, 14081, 12363, 14082, 12364, 14083, 12365, 14084, 12366, 14085, 12367, 14086, 12368, 14087, 12369, 14088, 12370, 14089, 12371, 14090, 12372, 14091, 12374, 14092, 14093, 14094, 14095, 14096, 14097, 14098, 14099, 14100, 14101, 14102, 14103, 14104, 14105, 14106, 14107, 14108, 14109, 14110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13968.$auto$alumacc.cc:474:replace_alu$15405.C": { + "hide_name": 1, + "bits": [ 14111, 14112, 12377, 14113, 12389, 14114, 12391, 14115, 12392, 14116, 12378, 14117, 12379, 14118, 12380, 14119, 12381, 14120, 12382, 14121, 12383, 14122, 12384, 14123, 12385, 14124, 12386, 14125, 12387, 14126, 12388, 14127, 12390, 14128, 14129, 14130, 14131, 14132, 14133, 14134, 14135, 14136, 14137, 14138, 14139, 14140, 14141, 14142, 14143, 14144, 14145, 14146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13972.$auto$alumacc.cc:474:replace_alu$15337.C": { + "hide_name": 1, + "bits": [ 14147, 14148, 12393, 14149, 12405, 14150, 12407, 14151, 12408, 14152, 12394, 14153, 12395, 14154, 12396, 14155, 12397, 14156, 12398, 14157, 12399, 14158, 12400, 14159, 12401, 14160, 12402, 14161, 12403, 14162, 12404, 14163, 12406, 14164, 14165, 14166, 14167, 14168, 14169, 14170, 14171, 14172, 14173, 14174, 14175, 14176, 14177, 14178, 14179, 14180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13976.$auto$alumacc.cc:474:replace_alu$15270.C": { + "hide_name": 1, + "bits": [ 14181, 14182, 12409, 14183, 12421, 14184, 12423, 14185, 12424, 14186, 12410, 14187, 12411, 14188, 12412, 14189, 12413, 14190, 12414, 14191, 12415, 14192, 12416, 14193, 12417, 14194, 12418, 14195, 12419, 14196, 12420, 14197, 12422, 14198, 14199, 14200, 14201, 14202, 14203, 14204, 14205, 14206, 14207, 14208, 14209, 14210, 14211, 14212, 14213, 14214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13980.$auto$alumacc.cc:474:replace_alu$15204.C": { + "hide_name": 1, + "bits": [ 14215, 14216, 12425, 14217, 12437, 14218, 12439, 14219, 12440, 14220, 12426, 14221, 12427, 14222, 12428, 14223, 12429, 14224, 12430, 14225, 12431, 14226, 12432, 14227, 12433, 14228, 12434, 14229, 12435, 14230, 12436, 14231, 12438, 14232, 14233, 14234, 14235, 14236, 14237, 14238, 14239, 14240, 14241, 14242, 14243, 14244, 14245, 14246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13984.$auto$alumacc.cc:474:replace_alu$15139.C": { + "hide_name": 1, + "bits": [ 14247, 14248, 12441, 14249, 12453, 14250, 12455, 14251, 12456, 14252, 12442, 14253, 12443, 14254, 12444, 14255, 12445, 14256, 12446, 14257, 12447, 14258, 12448, 14259, 12449, 14260, 12450, 14261, 12451, 14262, 12452, 14263, 12454, 14264, 14265, 14266, 14267, 14268, 14269, 14270, 14271, 14272, 14273, 14274, 14275, 14276, 14277, 14278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13988.$auto$alumacc.cc:474:replace_alu$15075.C": { + "hide_name": 1, + "bits": [ 14279, 14280, 12457, 14281, 12469, 14282, 12471, 14283, 12472, 14284, 12458, 14285, 12459, 14286, 12460, 14287, 12461, 14288, 12462, 14289, 12463, 14290, 12464, 14291, 12465, 14292, 12466, 14293, 12467, 14294, 12468, 14295, 12470, 14296, 14297, 14298, 14299, 14300, 14301, 14302, 14303, 14304, 14305, 14306, 14307, 14308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13992.$auto$alumacc.cc:474:replace_alu$15012.C": { + "hide_name": 1, + "bits": [ 14309, 14310, 12473, 14311, 12485, 14312, 12487, 14313, 12488, 14314, 12474, 14315, 12475, 14316, 12476, 14317, 12477, 14318, 12478, 14319, 12479, 14320, 12480, 14321, 12481, 14322, 12482, 14323, 12483, 14324, 12484, 14325, 12486, 14326, 14327, 14328, 14329, 14330, 14331, 14332, 14333, 14334, 14335, 14336, 14337, 14338 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$13996.$auto$alumacc.cc:474:replace_alu$14950.C": { + "hide_name": 1, + "bits": [ 14339, 14340, 12489, 14341, 12501, 14342, 12503, 14343, 12504, 14344, 12490, 14345, 12491, 14346, 12492, 14347, 12493, 14348, 12494, 14349, 12495, 14350, 12496, 14351, 12497, 14352, 12498, 14353, 12499, 14354, 12500, 14355, 12502, 14356, 14357, 14358, 14359, 14360, 14361, 14362, 14363, 14364, 14365, 14366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14000.$auto$alumacc.cc:474:replace_alu$14889.C": { + "hide_name": 1, + "bits": [ 14367, 14368, 12505, 14369, 12517, 14370, 12519, 14371, 12520, 14372, 12506, 14373, 12507, 14374, 12508, 14375, 12509, 14376, 12510, 14377, 12511, 14378, 12512, 14379, 12513, 14380, 12514, 14381, 12515, 14382, 12516, 14383, 12518, 14384, 14385, 14386, 14387, 14388, 14389, 14390, 14391, 14392, 14393, 14394 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14004.$auto$alumacc.cc:474:replace_alu$14829.C": { + "hide_name": 1, + "bits": [ 14395, 14396, 12521, 14397, 12533, 14398, 12535, 14399, 12536, 14400, 12522, 14401, 12523, 14402, 12524, 14403, 12525, 14404, 12526, 14405, 12527, 14406, 12528, 14407, 12529, 14408, 12530, 14409, 12531, 14410, 12532, 14411, 12534, 14412, 14413, 14414, 14415, 14416, 14417, 14418, 14419, 14420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14008.$auto$alumacc.cc:474:replace_alu$14770.C": { + "hide_name": 1, + "bits": [ 14421, 14422, 12537, 14423, 12549, 14424, 12551, 14425, 12552, 14426, 12538, 14427, 12539, 14428, 12540, 14429, 12541, 14430, 12542, 14431, 12543, 14432, 12544, 14433, 12545, 14434, 12546, 14435, 12547, 14436, 12548, 14437, 12550, 14438, 14439, 14440, 14441, 14442, 14443, 14444, 14445, 14446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14012.$auto$alumacc.cc:474:replace_alu$14712.C": { + "hide_name": 1, + "bits": [ 14447, 14448, 12553, 14449, 12565, 14450, 12567, 14451, 12568, 14452, 12554, 14453, 12555, 14454, 12556, 14455, 12557, 14456, 12558, 14457, 12559, 14458, 12560, 14459, 12561, 14460, 12562, 14461, 12563, 14462, 12564, 14463, 12566, 14464, 14465, 14466, 14467, 14468, 14469, 14470 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14016.$auto$alumacc.cc:474:replace_alu$14655.C": { + "hide_name": 1, + "bits": [ 14471, 14472, 12569, 14473, 12581, 14474, 12583, 14475, 12584, 14476, 12570, 14477, 12571, 14478, 12572, 14479, 12573, 14480, 12574, 14481, 12575, 14482, 12576, 14483, 12577, 14484, 12578, 14485, 12579, 14486, 12580, 14487, 12582, 14488, 14489, 14490, 14491, 14492, 14493, 14494 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14020.$auto$alumacc.cc:474:replace_alu$14599.C": { + "hide_name": 1, + "bits": [ 14495, 14496, 12585, 14497, 12597, 14498, 12599, 14499, 12600, 14500, 12586, 14501, 12587, 14502, 12588, 14503, 12589, 14504, 12590, 14505, 12591, 14506, 12592, 14507, 12593, 14508, 12594, 14509, 12595, 14510, 12596, 14511, 12598, 14512, 14513, 14514, 14515, 14516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14024.$auto$alumacc.cc:474:replace_alu$14544.C": { + "hide_name": 1, + "bits": [ 14517, 14518, 12601, 14519, 12613, 14520, 12615, 14521, 12616, 14522, 12602, 14523, 12603, 14524, 12604, 14525, 12605, 14526, 12606, 14527, 12607, 14528, 12608, 14529, 12609, 14530, 12610, 14531, 12611, 14532, 12612, 14533, 12614, 14534, 14535, 14536, 14537, 14538 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14028.$auto$alumacc.cc:474:replace_alu$14490.C": { + "hide_name": 1, + "bits": [ 14539, 14540, 12617, 14541, 12629, 14542, 12631, 14543, 12632, 14544, 12618, 14545, 12619, 14546, 12620, 14547, 12621, 14548, 12622, 14549, 12623, 14550, 12624, 14551, 12625, 14552, 12626, 14553, 12627, 14554, 12628, 14555, 12630, 14556, 14557, 14558 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14032.$auto$alumacc.cc:474:replace_alu$14437.C": { + "hide_name": 1, + "bits": [ 14559, 14560, 12633, 14561, 12645, 14562, 12647, 14563, 12648, 14564, 12634, 14565, 12635, 14566, 12636, 14567, 12637, 14568, 12638, 14569, 12639, 14570, 12640, 14571, 12641, 14572, 12642, 14573, 12643, 14574, 12644, 14575, 12646, 14576, 14577, 14578 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14036.$auto$alumacc.cc:474:replace_alu$14385.C": { + "hide_name": 1, + "bits": [ 14579, 14580, 12649, 14581, 12661, 14582, 12663, 14583, 12664, 14584, 12650, 14585, 12651, 14586, 12652, 14587, 12653, 14588, 12654, 14589, 12655, 14590, 12656, 14591, 12657, 14592, 12658, 14593, 12659, 14594, 12660, 14595, 12662, 14596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14040.$auto$alumacc.cc:474:replace_alu$14334.C": { + "hide_name": 1, + "bits": [ 14597, 14598, 12665, 14599, 12677, 14600, 12679, 14601, 12680, 14602, 12666, 14603, 12667, 14604, 12668, 14605, 12669, 14606, 12670, 14607, 12671, 14608, 12672, 14609, 12673, 14610, 12674, 14611, 12675, 14612, 12676, 14613, 12678, 14614 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "$techmap$techmap$mod$saturn_core.v:566$70.div_mod.div_mod_u.$sub$/usr/local/bin/../share/yosys/techmap.v:302$14044.$auto$alumacc.cc:474:replace_alu$14284.C": { + "hide_name": 1, + "bits": [ 14615, 14616, 12681, 14617, 12682, 14618, 14619, 14620, 14621, 14622, 14623, 14624, 14625, 14626, 14627, 14628, 14629, 14630, 14631, 14632, 14633, 14634, 14635, 14636, 14637, 14638, 14639, 14640, 14641, 14642, 14643, 14644 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/techmap.v:302|/usr/local/bin/../share/yosys/techmap.v:330|/usr/local/bin/../share/yosys/techmap.v:380|saturn_core.v:566|/usr/local/bin/../share/yosys/ecp5/arith_map.v:53" + } + }, + "PC": { + "hide_name": 0, + "bits": [ 9258, 9088, 8993, 9081, 9101, 9185, 9282, 61, 9275, 9036, 9285, 9084, 9093, 9439, 9288, 9086, 14645, 14646, 14647, 14648 ], + "attributes": { + "src": "saturn_core.v:156" + } + }, + "RSTK[0]": { + "hide_name": 0, + "bits": [ 9255, 9847, 8980, 9361, 9156, 9749, 9389, 50, 9775, 9502, 9408, 9177, 9803, 9431, 9647, 9816, 14649, 14650, 14651, 14652 ], + "attributes": { + } + }, + "RSTK[1]": { + "hide_name": 0, + "bits": [ 9254, 9838, 8977, 9367, 9147, 9755, 9380, 48, 9773, 9508, 9400, 9170, 9794, 9433, 9640, 9813, 14653, 14654, 14655, 14656 ], + "attributes": { + } + }, + "RSTK[2]": { + "hide_name": 0, + "bits": [ 9251, 9848, 8984, 9354, 9157, 9753, 9390, 40, 9776, 9495, 9409, 9180, 9804, 9432, 9650, 9820, 14657, 14658, 14659, 14660 ], + "attributes": { + } + }, + "RSTK[3]": { + "hide_name": 0, + "bits": [ 9245, 9844, 8990, 9362, 9153, 9759, 9386, 26, 9769, 9503, 9413, 9176, 9800, 9434, 9646, 9826, 14661, 14662, 14663, 14664 ], + "attributes": { + } + }, + "RSTK[4]": { + "hide_name": 0, + "bits": [ 9252, 9845, 8989, 9366, 9152, 9754, 9385, 30, 9781, 9498, 9404, 9179, 9799, 9426, 9645, 9825, 14665, 14666, 14667, 14668 ], + "attributes": { + } + }, + "RSTK[5]": { + "hide_name": 0, + "bits": [ 9250, 9843, 8985, 9357, 9144, 9758, 9377, 44, 9768, 9507, 9412, 9175, 9791, 9423, 9637, 9819, 14669, 14670, 14671, 14672 ], + "attributes": { + } + }, + "RSTK[6]": { + "hide_name": 0, + "bits": [ 9242, 9835, 8983, 9360, 9154, 9752, 9387, 38, 9780, 9501, 9403, 9167, 9801, 9435, 9649, 9821, 14673, 14674, 14675, 14676 ], + "attributes": { + } + }, + "RSTK[7]": { + "hide_name": 0, + "bits": [ 9253, 9839, 8986, 9363, 9148, 9746, 9381, 32, 9774, 9504, 9410, 9171, 9795, 9436, 9641, 9822, 14677, 14678, 14679, 14680 ], + "attributes": { + } + }, "btn": { "hide_name": 0, "bits": [ 3, 4, 5, 6, 7, 8, 9 ], "attributes": { - "src": "saturn_core.v:51" + "src": "saturn_core.v:57" + } + }, + "calc_rom.address": { + "hide_name": 0, + "bits": [ 9947, 9948, 9949, 9950, 9951, 9952, 9953, 9954, 9955, 9956, 9957, 9958, 9959, 9960, 9011, 9010, 14681, 14682, 14683, 14684 ], + "attributes": { + "src": "saturn_core.v:176|saturn_core.v:13" } }, "calc_rom.clk": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "saturn_core.v:157|saturn_core.v:12", - "unused_bits": "0" + "src": "saturn_core.v:176|saturn_core.v:12" + } + }, + "calc_rom.enable": { + "hide_name": 0, + "bits": [ 9260 ], + "attributes": { + "src": "saturn_core.v:176|saturn_core.v:14" + } + }, + "calc_rom.nibble_out": { + "hide_name": 0, + "bits": [ 9465, 9541, 9863, 9727 ], + "attributes": { + "src": "saturn_core.v:176|saturn_core.v:15" } }, "clk": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "saturn_core.v:54", - "unused_bits": "0" + "src": "saturn_core.v:61" } }, "clk_25mhz": { "hide_name": 0, "bits": [ 2 ], "attributes": { - "src": "saturn_core.v:50" + "src": "saturn_core.v:56" + } + }, + "decstate": { + "hide_name": 0, + "bits": [ 9077, 9078, 9075, 18, 9066, 9058, 9063, 9062, 9060, 9059, 9061, 56, 55, 9073, 53, 9072, 9065, 9080, 9074 ], + "attributes": { + "onehot": 1 + } + }, + "hex_dec": { + "hide_name": 0, + "bits": [ 9946 ], + "attributes": { + "src": "saturn_core.v:150" + } + }, + "jump_base": { + "hide_name": 0, + "bits": [ 9257, 9850, 8992, 9369, 9159, 9446, 9392, 59, 9784, 9510, 9415, 9182, 9591, 9438, 9586, 9574, 14685, 14686, 14687, 14688 ], + "attributes": { + "src": "saturn_core.v:148" + } + }, + "jump_offset": { + "hide_name": 0, + "bits": [ 9693, 9697, 9701, 9691, 9695, 9699, 9703, 9705, 9707, 9709, 9711, 9713, 9715, 9717, 9686, 9688, 14689, 14690, 14691, 14692 ], + "attributes": { + "src": "saturn_core.v:149" + } + }, + "led": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "saturn_core.v:59" + } + }, + "load_cnt": { + "hide_name": 0, + "bits": [ 9118, 9120, 9127, 9124 ], + "attributes": { + "src": "saturn_core.v:151" + } + }, + "load_ctr": { + "hide_name": 0, + "bits": [ 9117, 9119, 9126, 9125 ], + "attributes": { + "src": "saturn_core.v:152" + } + }, + "nibble": { + "hide_name": 0, + "bits": [ 9228, 9132, 9192, 9227 ], + "attributes": { + "src": "saturn_core.v:145" + } + }, + "regdump": { + "hide_name": 0, + "bits": [ 9208, 9209, 9206, 9207, 9210, 9211, 9216, 9217, 9214, 9215, 9218, 9219, 9204, 9205, 9212, 9213 ], + "attributes": { + "src": "saturn_core.v:136" } }, "reset": { "hide_name": 0, "bits": [ 4 ], "attributes": { - "src": "saturn_core.v:55", - "unused_bits": "0" + "src": "saturn_core.v:62" + } + }, + "rom_address": { + "hide_name": 0, + "bits": [ 9947, 9948, 9949, 9950, 9951, 9952, 9953, 9954, 9955, 9956, 9957, 9958, 9959, 9960, 9011, 9010, 14681, 14682, 14683, 14684 ], + "attributes": { + "src": "saturn_core.v:140" + } + }, + "rom_enable": { + "hide_name": 0, + "bits": [ 9260 ], + "attributes": { + "src": "saturn_core.v:141" + } + }, + "rom_nibble": { + "hide_name": 0, + "bits": [ 9465, 9541, 9863, 9727 ], + "attributes": { + "src": "saturn_core.v:142" + } + }, + "rstk_ptr": { + "hide_name": 0, + "bits": [ 41, 43, 42 ], + "attributes": { + "src": "saturn_core.v:147" + } + }, + "runstate": { + "hide_name": 0, + "bits": [ 9070, 9050, 9071, 52, 9069, 63, 9064 ], + "attributes": { + "onehot": 1 } }, "wifi_gpio0": { "hide_name": 0, "bits": [ "1" ], "attributes": { - "src": "saturn_core.v:52" + "src": "saturn_core.v:58" } } } diff --git a/saturn_core.v b/saturn_core.v index 7a092c8..5832685 100644 --- a/saturn_core.v +++ b/saturn_core.v @@ -16,9 +16,15 @@ module hp_rom ( ); localparam ROM_FILENAME = "rom-gx-r.hex"; -reg [3:0] rom [0:(2**20)-1]; +// +// This is only for debug, the rom should be stored elsewhere +// -//reg[3:0] rom [0:(2**16)-1]; +`ifdef SIM +reg [3:0] rom [0:(2**20)-1]; +`else +reg[3:0] rom [0:(2**16)-1]; +`endif initial begin @@ -49,10 +55,11 @@ module saturn_core ( module saturn_core ( input clk_25mhz, input [6:0] btn, - output wifi_gpio0 + output wifi_gpio0, + output [7:0] led ); -wire clk; -wire reset; +wire clk; +wire reset; assign wifi_gpio0 = 1'b1; assign clk = clk_25mhz; @@ -60,6 +67,12 @@ assign reset = btn[1]; `endif +// led display states +localparam REGDMP_HEX = 16'h0000; + + +// runstate + localparam RUN_START = 0; localparam READ_ROM_STA = 1; localparam READ_ROM_CLK = 2; @@ -68,13 +81,17 @@ localparam READ_ROM_VAL = 4; localparam RUN_EXEC = 14; localparam RUN_DECODE = 15; -// decoder stuff +// instruction decoder states localparam DECODE_START = 32'h00000000; localparam DECODE_0 = 32'h00000001; localparam DECODE_0X = 32'h00000002; +localparam DECODE_RTNCC = 32'h00000300; +localparam DECODE_SETHEX = 32'h00000400; +localparam DECODE_SETDEC = 32'h00000500; + localparam DECODE_1 = 32'h00000010; localparam DECODE_1X = 32'h00000011; localparam DECODE_14 = 32'h00000410; @@ -93,6 +110,7 @@ localparam DECODE_8 = 32'h00000080; localparam DECODE_8X = 32'h00000081; localparam DECODE_80 = 32'h00000082; +localparam DECODE_CONFIG = 32'h00005080; localparam DECODE_RESET = 32'h0000A080; localparam DECODE_C_EQ_P_N = 32'h0000C080; @@ -115,6 +133,7 @@ localparam DEC = 1; reg halt; reg [3:0] runstate; reg [31:0] decstate; +reg [15:0] regdump; // memory access //reg rom_clock; @@ -207,6 +226,7 @@ begin halt <= 0; runstate <= RUN_START; decstate <= DECODE_START; + regdump <= REGDMP_HEX; end else if (runstate == RUN_START) @@ -285,7 +305,7 @@ begin 4'h0 : decstate <= DECODE_0; //4'h1 : decstate <= DECODE_1; 4'h2 : decstate <= DECODE_P_EQ; - //4'h3 : decstate <= DECODE_LC; + 4'h3 : decstate <= DECODE_LC_LEN; 4'h6 : decstate <= DECODE_GOTO; 4'h8 : decstate <= DECODE_8; @@ -303,11 +323,13 @@ begin if (decstate == DECODE_0) case (runstate) RUN_DECODE: runstate <= READ_ROM_STA; - READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: ; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end READ_ROM_VAL: case (nibble) - //4'h3: inst_rtncc(); - //4'h4: inst_sethex(); + 4'h3: decstate <= DECODE_RTNCC; + 4'h4: decstate <= DECODE_SETHEX; + 4'h5: decstate <= DECODE_SETDEC; + default: begin `ifdef SIM @@ -326,6 +348,26 @@ begin endcase + +/****************************************************************************** + * 03 RTNCC + * + * + */ + + if (decstate == DECODE_RTNCC) + begin + Carry <= 0; + PC <= RSTK[rstk_ptr]; + RSTK[rstk_ptr] <= 0; + rstk_ptr <= rstk_ptr - 1; +`ifdef SIM + $display("%05h RTNCC", saved_PC); +`endif + runstate <= RUN_START; + decstate <= DECODE_START; + end + /* // 03 RTNCC @@ -339,16 +381,43 @@ task inst_rtncc; end_decode(); end endtask +*/ -// 04 SETHEX -task inst_sethex; - begin - hex_dec = HEX; - $display("%05h SETHEX", saved_PC); - end_decode(); - end -endtask +/****************************************************************************** + * 04 SETHEX + * + * + */ + if (decstate == DECODE_SETHEX) + begin + hex_dec <= HEX; +`ifdef SIM + $display("%05h SETHEX", saved_PC); +`endif + runstate <= RUN_START; + decstate <= DECODE_START; + end + +/****************************************************************************** + * 05 SETDEC + * + * + */ + + if (decstate == DECODE_SETDEC) + begin + hex_dec <= DEC; +`ifdef SIM + $display("%05h SETDEC", saved_PC); +`endif + runstate <= RUN_START; + decstate <= DECODE_START; + end + + + +/* task decode_1; case (decstate ) DECODE_START: @@ -435,7 +504,7 @@ endtask if (decstate == DECODE_P_EQ) case (runstate) RUN_DECODE: runstate <= READ_ROM_STA; - READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: ; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end READ_ROM_VAL: begin P <= nibble; @@ -454,46 +523,66 @@ endtask end endcase -/* -// 3nxxxxxxxxxxxxxxxx LC xxxxxxxxxxxxxxxx -task inst_lc; - case (decstate ) - DECODE_START: - begin - decstate = DECODE_LC_LEN; - read_state = READ_START; - end - DECODE_LC_LEN: - if (read_state != READ_VALID) read_rom(); - else - begin - load_cnt = nibble; - load_ctr = 0; - decstate = DECODE_LC; - read_state = READ_START; - $write("%5h LC (%h)\t", saved_PC, load_cnt); - end - DECODE_LC: - if (read_state != READ_VALID) read_rom(); - else - begin - C[((load_ctr+P)%16)*4+:4] = nibble; - $write("%1h", nibble); - if (load_ctr == load_cnt) +/****************************************************************************** + * 3n[xxxxxx] LC (n) [xxxxxx] + * + * + */ + + if ((decstate == DECODE_LC_LEN) | (decstate == DECODE_LC)) + case (runstate) + RUN_DECODE: runstate <= READ_ROM_STA; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end + READ_ROM_VAL: + case (decstate) + DECODE_LC_LEN: begin - $display(""); - end_decode(); - end - else - begin - load_ctr = (load_ctr + 1)%4'hf; - read_state = READ_START; +`ifdef SIM + $write("%5h LC (%h)\t", saved_PC, nibble); +`endif + load_cnt <= nibble; + load_ctr <= 0; + decstate <= DECODE_LC; + runstate <= READ_ROM_STA; end + DECODE_LC: + begin + C[((load_ctr+P)%16)*4+:4] <= nibble; +`ifdef SIM + $write("%1h", nibble); +`endif + if (load_ctr == load_cnt) + begin +`ifdef SIM + $display(""); +`endif + runstate <= RUN_START; + decstate <= DECODE_START; + + end + else + begin + load_ctr <= (load_ctr + 1)%4'hf; + runstate <= READ_ROM_STA; + end + end + default: + begin +`ifdef SIM + $display("decstate %h nibble %h", decstate, nibble); +`endif + halt <= 1; + end + endcase + default: + begin +`ifdef SIM + $display("DECODE_LC decstate %h", decstate); +`endif + halt <= 1; end - endcase -endtask -*/ + endcase /****************************************************************************** * 6zyx GOTO xyz @@ -514,7 +603,7 @@ endtask $write("%5h GOTO\t", saved_PC); `endif end - READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: ; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end READ_ROM_VAL: begin jump_offset[load_ctr*4+:4] <= nibble; @@ -554,7 +643,7 @@ endtask if (decstate == DECODE_8) case (runstate) RUN_DECODE: runstate <= READ_ROM_STA; - READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: ; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end READ_ROM_VAL: begin case (nibble) @@ -563,7 +652,7 @@ endtask 4'h4: decstate <= DECODE_ST_EQ_0_N; 4'h5: decstate <= DECODE_ST_EQ_1_N; 4'hd: decstate <= DECODE_GOVLNG; - //4'hf: decstate <= DECODE_GOSBVL; + 4'hf: decstate <= DECODE_GOSBVL; default: begin `ifdef SIM @@ -592,11 +681,11 @@ endtask if (decstate == DECODE_80) case (runstate) RUN_DECODE: runstate <= READ_ROM_STA; - READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: ; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end READ_ROM_VAL: begin case (nibble) - //4'h5: inst_config(); + 4'h5: decstate <= DECODE_CONFIG; 4'ha: decstate <= DECODE_RESET; 4'hc: decstate <= DECODE_C_EQ_P_N; default: @@ -620,14 +709,20 @@ endtask /* -// 805 CONFIG -task inst_config; - begin - $display("%05h CONFIG\t\t\t<= NOT IMPLEMENTED YET", saved_PC); - end_decode(); - end -endtask -*/ +/****************************************************************************** + * 805 CONFIG + * + * + */ + + if ((decstate == DECODE_CONFIG) & (runstate == RUN_DECODE)) + begin +`ifdef SIM + $display("%05h CONFIG\t\t\t<= NOT IMPLEMENTED YET", saved_PC); +`endif + runstate <= RUN_START; + decstate <= DECODE_START; + end /****************************************************************************** * 80A RESET @@ -637,7 +732,9 @@ endtask if ((decstate == DECODE_RESET) & (runstate == RUN_DECODE)) begin +`ifdef SIM $display("%05h RESET\t\t\t<= NOT IMPLEMENTED YET", saved_PC); +`endif runstate <= RUN_START; decstate <= DECODE_START; end @@ -651,7 +748,7 @@ endtask if (decstate == DECODE_C_EQ_P_N) case (runstate) RUN_DECODE: runstate <= READ_ROM_STA; - READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: ; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end READ_ROM_VAL: begin C[nibble*4+:4] <= P; @@ -681,7 +778,7 @@ endtask if (decstate == DECODE_82) case (runstate) RUN_DECODE: runstate <= READ_ROM_STA; - READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: ; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end READ_ROM_VAL: begin HST <= HST & ~nibble; @@ -715,7 +812,7 @@ endtask if ((decstate == DECODE_ST_EQ_0_N) | (decstate == DECODE_ST_EQ_1_N)) case (runstate) RUN_DECODE: runstate <= READ_ROM_STA; - READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: ; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end READ_ROM_VAL: begin case (decstate) @@ -769,7 +866,7 @@ endtask rstk_ptr <= rstk_ptr + 1; runstate <= READ_ROM_STA; end - READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: ; + READ_ROM_STA, READ_ROM_CLK, READ_ROM_STR: begin end READ_ROM_VAL: begin //$display("decstate %h | nibble %h", decstate, nibble); @@ -868,12 +965,27 @@ task decode_a_fs; endcase endtask */ -end - / Verilator lint_off UNUSED - wire [N-1:0] unused; - assign unused = { }; - / Verilator lint_on UNUSED + +/************************************************************************************************** + * + * Dump all registers to leds, one piece at a time + * + */ + + + case (regdump) + REGDMP_HEX: led <= {7'b0000000, hex_dec}; + default: led <= 8'b11111111; + endcase + regdump <= regdump + 1; + + +end +// Verilator lint_off UNUSED +//wire [N-1:0] unused; +//assign unused = { }; +// Verilator lint_on UNUSED endmodule `ifdef SIM